EDA实训附录EDA开发板的管脚分配表.docx

上传人:b****0 文档编号:9312781 上传时间:2023-05-18 格式:DOCX 页数:39 大小:2.28MB
下载 相关 举报
EDA实训附录EDA开发板的管脚分配表.docx_第1页
第1页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第2页
第2页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第3页
第3页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第4页
第4页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第5页
第5页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第6页
第6页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第7页
第7页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第8页
第8页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第9页
第9页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第10页
第10页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第11页
第11页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第12页
第12页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第13页
第13页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第14页
第14页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第15页
第15页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第16页
第16页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第17页
第17页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第18页
第18页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第19页
第19页 / 共39页
EDA实训附录EDA开发板的管脚分配表.docx_第20页
第20页 / 共39页
亲,该文档总共39页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

EDA实训附录EDA开发板的管脚分配表.docx

《EDA实训附录EDA开发板的管脚分配表.docx》由会员分享,可在线阅读,更多相关《EDA实训附录EDA开发板的管脚分配表.docx(39页珍藏版)》请在冰点文库上搜索。

EDA实训附录EDA开发板的管脚分配表.docx

EDA实训附录EDA开发板的管脚分配表

 

EDA实训

 

武汉软件工程职业学院

电子系电子信息教研室

 

多功能数字钟的设计

一、实训目的

1、了解数字钟的工作原理。

2、进一步熟悉用VHDL语言编写驱动七段码管显示的代码。

3、掌握VHDL编写中的一些小技巧。

二、实训原理

多功能数字钟应该具有的功能有:

显示时-分-秒、整点报时、小时和分钟可调等基本功能。

首先要知道钟表的工作机理,整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,但是需要注意的是,小时的范围是从0~23时。

在实训中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD码)显示个位,对于小时因为它的范围是从0~23,所以可以用一个2位的二进制码显示十位,用4位二进制码(BCD码)显示个位。

实训中由于七段码管是扫描的方式显示,所以虽然时钟需要的是1Hz时钟信号,但是扫描确需要一个比较高频率的信号,因此为了得到准确的1Hz信号,必须对输入的系统时钟进行分频。

对于整点报时功能,用户可以根据系统的硬件结构和自身的具体要求来设计。

本实训设计的是当进行整点的倒计时5秒时,让LED来闪烁进行整点报时的提示。

三、实训内容

本实验的任务就是设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10秒,即从整点前10秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5秒LED开始闪烁,过整点后,停止闪烁。

调整时间的的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时,S2调整分钟,每按下一次,分钟增加一分钟。

另外用按键作为系统时钟复位,复位后全部显示00-00-00。

四、实训步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDLFile,打开VHDL编辑器对话框。

3、按照实训原理和自己的想法,在VHDL编辑窗口编写VHDL程序。

4、编写完VHDL程序后,保存起来。

5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改,直到完全通过编译和仿真。

6、编译仿真无误后,依照按键开关、数码管、LED灯与FPGA的管脚连接表或参照附录进行管脚分配。

分配完成后,再进行全编译一次,以使管脚分配生效。

7、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。

观察实验结果是否与自己的编程思想一致。

五、实验结果与现象

以设计的参考示例为例,当设计文件加载到目标器件后,数码管开始显示时间,从00-00-00开始。

在整点的前5秒的时候,LED灯模块的LED1-LED4开始闪烁。

一旦超过整点,LED停止显示。

按动按键开关的S1、S2小时和分钟开始步进,进行时间的调整。

按下按键开关的RESET,显示恢复到00-00-00重新开始显示时间。

六、实验报告

1、绘出仿真波形,并作说明。

2、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

3、在此实验的基础上试用其它的方法来实现数字钟的功能,并增加其它功能。

基于QUARTUSII图形输入电路的设计

一、实验目的

1、通过一个简单的3—8译码器的设计,掌握组合逻辑电路的设计方法。

2、初步了解QUARTUSII原理图输入设计的全过程。

3、掌握组合逻辑电路的静态测试方法。

二、实验原理

3-8译码器三输入,八输出。

当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。

因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。

其真值表如表1-1所示

表1-1三-八译码器真值表

输入

输出

A

B

C

D7

D6

D5

D4

D3

D2

D1

D0

0

0

0

0

0

0

0

0

0

0

1

1

0

0

0

0

0

0

0

0

1

0

0

1

0

0

0

0

0

0

1

0

0

1

1

0

0

0

0

0

1

0

0

0

0

0

1

0

0

0

1

0

0

0

0

1

0

1

0

0

1

0

0

0

0

0

0

1

1

0

1

0

0

0

0

0

0

1

1

1

1

0

0

0

0

0

0

0

译码器不需要像编码器那样用一个输出端指示输出是否有效。

但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。

本例设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,程序如何设计。

三、实验内容

在本实验中,用三个按键来表示三八译码器的三个输入(A、B、C);用八个LED来表示三八译码器的八个输出(D0-D7)。

通过输入不同的值来观察输入的结果与三八译码器的真值表(表1-1)是否一致。

EDA开发板中的拨动开关与FPGA的接口电路如下图1-1所示,当开关闭合(拨动开关的档位在下方)时其输出为低电平,反之输出高电平。

其电路与FPGA的管脚连接如表1-2所示

拨动开关的输出

 

图1-1拨动开关与FPGA接口电路

表1-2拨动开关与FPGA管脚连接表

信号名称

对应FPGA(EP2C35)管脚名

信号说明

K1

E15

从K1输出到FPGA的E15

K2

B14

从K2输出到FPGA的B14

K3

F9

从K3输出到FPGA的F9

K4

B15

从K4输出到FPGA的B15

K5

A15

从K5输出到FPGA的A15

K6

F11

从K6输出到FPGA的F11

K7

A16

从K7输出到FPGA的A16

K8

F13

从K8输出到FPGA的F13

K9

F14

从K8输出到FPGA的F14

K10

A17

从K8输出到FPGA的A17

K11

H7

从K8输出到FPGA的H7

K12

A18

从K8输出到FPGA的A18

LED灯与FPGA的接口电路如图1-2所示,当FPGA与其对应的端口为高电平时LED就会发光,反之LED灯灭。

其与FPGA对应的管脚连接如表1-3所示。

 

图1-2LED灯与FPGA接口电路

表1-3LED灯与FPGA管脚连接表

信号名称

对应FPGA(EP1C12)管脚名

说明

D1

E9

从FPGA的E9输出至D1

D2

A11

从FPGA的A11输出至D2

D3

E11

从FPGA的E11输出至D3

D4

B13

从FPGA的B13输出至D4

D5

E14

从FPGA的E14输出至D5

D6

A13

从FPGA的A13输出至D6

D7

L7

从FPGA的L7输出至D7

D8

B19

从FPGA的B19输出至D8

D9

M8

从FPGA的M8输出至D9

D10

A19

从FPGA的A19输出至D10

D11

M7

从FPGA的M7输出至D11

D12

B20

从FPGA的B20输出至D12

四、实验步骤

下面将通过这个实验,向读者介绍QUARTUSII的项目文件的生成、编译、管脚分配以及时序仿真等的操作过程。

1、建立工程文件

1)选择开始>程序>Altera>QuartusII9.0,运行QUARTUSII软件。

或者双击桌面上的QUARTUSII的图标运行QUARTUSII软件,出现如图1-3所示,如果是第一次打开QUARTUSII软件可能会有其它的提示信息,使用者可以根据自己的实际情况进行设定后进入图1-3所示界面。

图1-3QUARTUSII软件运行界面

2)选择软件中的菜单File>NewProjectWizard,新建一个工程。

如图1-4所示。

3)点击图1-4中的NEXT进入工作目录,工程名的设定对话框如图1-5所示。

第一个输入框为工程目录输入框,用户可以输入如e:

/eda等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。

第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。

用户可以设定如EXP1,一般情况下工程名称与实体名称相同。

使用者也可以根据自已的实际情况来设定。

 

图1-4新建工程对话框

图1-5指定工程名称及工作目录

4)点击NEXT,进入下一个设定对话框。

在图1-6所示对话框中可以选择已经存在的文件加入到此项目中。

图1-6加入其他文件到项目界面

5)点击NEXT,进入下一个设定对话框,按默认选项直接点击NEXT进行器件选择对话框。

如图1-7所示。

这里我们以选用Cyclone系列芯片EP2C35F484C8为例进行介绍。

用户可以根据使用的不同芯片来进行设定,其方法基本一致。

图1-7器件选择界面

首先在对话框的左上方的Family下拉菜单中选取Cyclone,在中间右边的Speedgrade下拉菜单中选取8,在左下方的Availabledevices框中选取EP1C12F324C8,点击NEXT完成器件的选取,进入EDATOOL设定界面如图1-8所示。

可以在此选择综合、仿真以及时序分析工具。

这里选择默认,都采用QuartusⅡ进行。

图1-8EDATOOL对话框

5)按默认选项,点击NEXT出现新建工程以前所有的设定信息,如图1-9所示,点击FINISH完成新建工程的建立。

图1-9新建工程信息

2、建立图形设计文件

1)在创建好设计工程后,选择File>NEW…菜单,出现图1-10所示的新建设计文件类型选择窗口。

这里我们以建立图形设计文件为例进行说明,其它设计输入方法与之基本相同。

图1-10新建设计文件选择窗口

2)在New对话框(图1-10)中选择DeviceDesignFiles页下的BlockDiagram/SchematicFile,点击OK按钮,打开图形编辑器对话框,如图1-11所示。

图中标明了常用的每个按钮的功能。

 

图1-11QUARTUSII图形编辑器对话框

QUARTUSII图形编辑器也称块编辑器(BlockEditor),用于以原理图(Schematics)和结构图(BlockDiagrams)的形式输入和编辑图形设计信息。

QUARTUSII图形编辑器可以读取并编译结构图设计文件(BlockDesignFile)和MAXPLUSII图形设计文件(GraphicDesignFiles),可以在QUARTUSII软件中打开图形设计文件并将其另存为结构图设计文件。

在QUARTUSII图形编辑器窗口(图1-11)中,根据个人爱好,可以随时改变BlockEditor的显示选项,如导向线和网格间距、橡皮筋功能、颜色以及基本单元和块的属性等。

3)在这里以用原理图输入设计一个三八译码器为例,介绍基本单元符号输入方法的步骤。

在图1-11所示的图形编辑器窗口的工件区双击鼠标的左键,或点击图中的符号工具按钮,或选择菜单Edit>InsertSymbol…,则弹出如图1-12所示的Symbol对话框。

图1-12Symbol对话框

4)用鼠标点击单元库前面的“+”号,展开单元库,用户可以选择所需要的图元或符号,该符号则显示在右边的显示符号窗口,用户也可以在符号名称里输入你所需要的符号名称,点击OK按钮,所选择的符号将显示在图形编辑器的工作区域。

5)参考图1-13所示,将要选择的器件符号放置在图形编辑器的工件区域,用正交节点工具将元件连接起来,然后定义端口的名称。

在这个例子里,定义三个输入为A、B、C,定义八个输出为D0、D1、D2、D3、D4、D5、D6、D7。

用户也可以根据自己的习惯来定义这些端口名称。

6)完成图形编辑的输入之后,需要保存设计文件或重新命名设计文件。

选择File>SaveAs…项,出现如图1-14所示对话框,选择好文件保存目录,并在文件名栏输入设计文件名。

如需要将设计文件添加到当前工程中,则选择对话框下面的Addfiletocurrentproject复选框,单击保存按钮即可保存文件。

需要注意的是,在整个设计文件保存的过程当中,都需要遵循设计输入法的一般规则。

图1-13设计文件的输入

图1-14保存设计文件对话框

3、对设计文件进行编译

QUARTUSII编译器窗口包含了对设计文件处理的全过程。

在QUARTUSII软件中选择Processing>CompilerTool菜单项,则出现QUARTUSII的编译器窗口,如图1-15所示,图中标明了全编译过程各个模块的功能。

图1-15QUARTUSII编译器窗口

需要说明的是在进行设计文件的综合和分析,也可以单独打开某个分析综合过程不必进行全编译界面。

当完成上述窗口的设定后,点击START按钮进行设计文件的全编译。

如果文件有错,在软件的下方则会提示错误的原因和位置,以便于使用者进行修改直到设计文件无错。

整个编译完成,软件会提示编译成功,如图1-16所示。

图1-16全编译成功界面

4、管脚分配

在前面选择好一个合适的目标器件(在这个实验中选择为EP1C12F324C8),完成设计的分析综合过程,得到工程的数据文件以后,需要对设计中的输入、输出引脚指定到具体的器件管脚号码,指定管脚号码称为管脚分配或管脚锁定。

这里介绍两种方法进行管脚锁定。

1)点击Assignments菜单下面的AssignmentEditor,进入到引脚分配窗口。

如图1-17所示。

 

图1-17进入引脚分配界面

首先将要分配管脚的信号放置在To下方。

双击To下方的《New》,则会出现如图1-18所示界面。

 

图1-18信号选择对话框

选择NodeFinder…进入如图1-19所示的NodeFinder对话框界面。

按图1-19中样例设置参数。

在Filter窗口选择Pins:

all,在Named窗口中输入“*”,点击List在NodesFound窗口出现所有信号的名称,点击中间的按钮则SelectedNodes窗口下方出现被选择的端口名称。

双击OK按钮,完成设置。

进入管脚分配窗口,如图1-20所示。

 

图1-19NodeFinder对话框

 

图1-20管脚分配

在图1-20中以锁定端口A的管脚为例,其它端口的管脚锁定与其基本一致。

选择端口A的对应AssignmentName待其变为蓝色,双击之,出现下拉菜单选取如图1-18所示的Location(Acceptswildcards/groups)选项。

选择端口A的对应Value栏,待其变为蓝色,依照表1-2和表1-3所示的硬件与FPGA的管脚连接表(或附录二),输入对应的管脚名E15,按回车键,软件将自动将其改为PIN_E15,同时蓝色选择条会自动跳转到Value栏的下一行,这表明软件已经将输入端口A分配到FPGA的E15引脚上,如图1-20所示。

 

图1-20给A端口进行管脚分配

用同样的方法,依照附录一和附录二所示的硬件与FPGA的管脚连接表,对其它端口进行管脚分配,如图1-21所示。

图1-21所有引脚全部分配结束后的软件窗口

2)点击Assignments菜单下面的PinPlanner(也可直接点击工具栏上的引脚分配按钮

)出现如图1-22所示的所选目标芯片的管脚分布图。

图1-21目标芯片的管脚分布图

与上面的方法相同,依照附录一和附录二所示的硬件与FPGA的管脚连接表,如端口A对应的管脚为R16,则双击R16管脚出现如图1-22所示对话框。

图1-22管脚分配对话框

在图1-22对话框中的NodeName框中输入对应的端口名A或者通过下拉菜单选取对应的端口名称A,点击OK按钮,完成对端口A的管脚分配。

用相同的方法,依照下表1-4对其它端口进行管脚分配,管脚分配完后,如下图1-23所示。

图1-23所有引脚全部分配结束后的软件窗口

端口名

使用模块信号

EP1C12管脚

说明

A

按键S1

R16

译码器的

三位输入

B

按键S2

P14

C

按键S3

P16

D0

LED灯LED1

L14

译码器的

八位输出

D1

LED灯LED2

M14

D2

LED灯LED3

N14

D3

LED灯LED4

N13

D4

LED灯LED5

P12

D5

LED灯LED6

N12

D6

LED灯LED7

P10

D7

LED灯LED8

P9

表1-4端口管脚分配表

在图1-23中,棕色标出的管脚为已被分配锁定的管脚。

值得注意的是,当管脚分配完之后一定要进行再进行一次全编译,以使分配的管脚有效。

5、对设计文件进行仿真

1)创建一个仿真波形文件,选择QUARTUSII软件File>New,进行新建文件对话框。

如图1-24所示。

选取对话框的OtherFile标签页,从中选取VectorWaveformFile,点击OK按钮,则打开了一个空的波形编辑器窗口,如图1-25所示。

 

图1-24新建文件对话框图1-25波形编辑器

2)设置仿真结束时间,波形编辑器默认的仿真结束时间为1µS,根据仿真需要,可以自由设置仿真的结束时间。

选择QUARTUSII软件的Edit>EndTime命令,弹出线路束时间对话框,在Time框办输入仿真结束时间,点击OK按钮完成设置。

3)加入输入、输出端口,在波形编辑器窗口左边的端口名列表区点击鼠标右键,在弹出的右键菜单中选择InsertNodeorBus…命令,在弹出的InsertNodeorBus对话框如图1-26所示界面中点击NodeFinder…按钮。

 

图1-26InsertNodeorBus对话框

在出现的NodeFinder界面中,如图1-27所示,在Filter列表中选择Pins:

all,在Named窗口中输入“*”,点击List在NodesFound窗口出现所有信号的名称,点击中间的

按钮则SelectedNodes窗口下方出现被选择的端口名称。

双击OK按钮,完成设置,回到图1-26所示的InsertNodeorBus对话框,双击OK按钮,所有的输入、输出端口将会在端口名列表区内显示出来,如图1-28所示。

 

图1-27NodeFinder对话框

 

图1-28在波形编辑器中加入端口

4)编辑输入端口波形,即指定输入端口的逻辑电平变化,在如图1-28所示的波形编辑窗口中,选择要输入波形的输入端口如A端口,在端口名显示区左边的波形编辑器工具栏中有要输入的各种波形,其按钮说明如图1-29所示。

根据仿真的需要输入波形。

完成后如图1-30所示。

最后选择软件的File>Save进行保存。

 

图1-29波形编辑器工具栏

 

 

图1-30编辑输入端口波形

5)指定仿真器设置,在仿真过程中有时序仿真和功能仿真之分,在这里介绍功能仿真。

在QUARTUSII软件中选择Tool>SimulatorTool命令,打开仿真器工具窗口,如图1-31所示。

 

图1-31仿真器工具窗口

按图1-31上的提示,首先产生功能仿真网表文件,点击产生功能仿真网表的按钮GenerateFunctionalSimulationNetlist,产生功能仿真网表,然后点击开始仿真的START按钮开始进行仿真,直到仿真进度条为100%完成仿真。

点击仿真报告窗口按钮Report,观察仿真波形。

如图1-32所示。

 

 

图1-32仿真波形

6、从设计文件到目标器件的加载

完成对器件的加载有两种形式,一种是对目标器件进行加载文件,一种是对目标器件的配置芯片进行加载。

这里我们介绍对目标器件EP2C35F672C8进行加载的方法。

1)使用下载电缆将PC机与EDA开发板连接起来。

2)选择QUARTUSII软件的Tool>Programmer命令,进行编程器窗口,如图1-33所示,如果没有设置编程硬件,则编程硬件类型为NoHardware,需要对编程硬件进行设置。

点击HardwareSetup…编程硬件设置按钮,进行如图1-34所示的编程硬件设置对话框。

 

图1-33编程器窗口

 

图1-34编程器硬件设置对话框

3)点击AddHardware按钮,出现AddHardware对话框,如图1-35所示。

 

图1-35编程硬件选择对话框

4)在AddHardware对话框中,从Hardwaretype列表中选择所需要硬件类型,如果是USB接口的请参照用户使用手册中的USB电缆的安装与使用,如果使用的是并口下载线则选取如图1-35所示的硬件类型,点击OK按钮,完成对硬件类型的设置。

回到编程器硬件设置窗口,点击Close按钮退出设置。

则在编程器对话框中的编程硬件类型会出现刚才选取的编程器硬件。

5)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现这个工程文件要加载到目标器件的文件,如果要加载其它文件可以从其它地方进行添加更改。

选好加载文件后,再点选Progam/Configure,编程模式选取JTAG模式,点击STRAT进行文件加载,直到加载进度变为100%,文件成功加载完成。

五、实验现象与结果

文件加载到目标器件后,按动按键开关,LED灯会按表1-1所示的真值表对应的点亮。

六、实验报告

1、进一步熟悉和理解QUARTUSII软件的使用方法。

 

附表一:

核心板载资源与EP1C12I/O接口对照表

信号名称

EP1C12IO接脚

信号名称

EP1C12IO接脚

核心板模块

FLASH(29LV017D)

A0

U10

A17

R18

A1

V10

A18

U6

A2

U9

A19

T17

A3

V9

A20

R17

A4

U8

D0

V12

A5

V8

D1

U12

A6

U7

D2

V13

A7

V7

D3

U13

A8

U5

D4

U14

A9

U4

D5

V15

A10

T16

D6

U15

A11

V4

D7

U16

A12

U3

WE#

V6

A13

T3

OE#

U11

A14

R3

CE#

V11

A15

T2

RESET#

C4

A16

R2

--------

-----------

核心板模块

SRAM(IDT74V416)

A0

U9

A11

T3

A1

V9

A12

R3

A2

U8

A13

T2

A3

V8

A14

R2

A4

U7

A15

R18

A5

V7

A16

U6

A6

U5

A1

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 初中教育 > 其它课程

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2