VHDL交通灯控制器实验报告.docx

上传人:b****8 文档编号:9539885 上传时间:2023-05-19 格式:DOCX 页数:17 大小:129.15KB
下载 相关 举报
VHDL交通灯控制器实验报告.docx_第1页
第1页 / 共17页
VHDL交通灯控制器实验报告.docx_第2页
第2页 / 共17页
VHDL交通灯控制器实验报告.docx_第3页
第3页 / 共17页
VHDL交通灯控制器实验报告.docx_第4页
第4页 / 共17页
VHDL交通灯控制器实验报告.docx_第5页
第5页 / 共17页
VHDL交通灯控制器实验报告.docx_第6页
第6页 / 共17页
VHDL交通灯控制器实验报告.docx_第7页
第7页 / 共17页
VHDL交通灯控制器实验报告.docx_第8页
第8页 / 共17页
VHDL交通灯控制器实验报告.docx_第9页
第9页 / 共17页
VHDL交通灯控制器实验报告.docx_第10页
第10页 / 共17页
VHDL交通灯控制器实验报告.docx_第11页
第11页 / 共17页
VHDL交通灯控制器实验报告.docx_第12页
第12页 / 共17页
VHDL交通灯控制器实验报告.docx_第13页
第13页 / 共17页
VHDL交通灯控制器实验报告.docx_第14页
第14页 / 共17页
VHDL交通灯控制器实验报告.docx_第15页
第15页 / 共17页
VHDL交通灯控制器实验报告.docx_第16页
第16页 / 共17页
VHDL交通灯控制器实验报告.docx_第17页
第17页 / 共17页
亲,该文档总共17页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

VHDL交通灯控制器实验报告.docx

《VHDL交通灯控制器实验报告.docx》由会员分享,可在线阅读,更多相关《VHDL交通灯控制器实验报告.docx(17页珍藏版)》请在冰点文库上搜索。

VHDL交通灯控制器实验报告.docx

VHDL交通灯控制器实验报告

可编程逻辑器件应用

 

项目名称:

交通灯控制器

指导老师:

姓名:

学号:

班级:

(以后写报告要包含以下一些内容:

一、设计要求--------------------------------------------------------------------------------

二、设计目的--------------------------------------------------------------------------------

三、设计方案--------------------------------------------------------------------------------

四、设计程序---------------------------------------------------------------------------------

五、管脚分配---------------------------------------------------------------------------------

六、硬件下载实现现象描述------------------------------------------

七、体会、对设计工作的总结与展-------------------------------------------

 

一、设计要求:

①在十字路口的两个方向上各设一组红、绿、黄灯,显示顺序为其中一方向(东西方向)是绿灯、黄灯、红灯;另一方向(南北方向)是红灯、绿灯、黄灯。

②设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别是20s、5s和25s。

③当各条路上任意一条上出现特殊情况时,如当消防车、救护车或其他需要优先放行的车辆通过时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。

当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。

二、设计方案:

计数器的计数值与交通灯亮灭的关系如图1所示。

图1计数值与交通灯亮灭的关系

 

显然,本课题的核心是一个计数范围为0~49(共50s)的计数器和一个根据计数值做出规定反应的控制器。

另外,所用实验箱配备的晶振为20MHz,因此还需要一个分频电路。

最后,要驱动七段数码管,显然还需要一个译码电路。

根据上面的分析,可以画出如图2所示的系统框图。

图2交通灯控制器系统框图

2、计数器的设计

这里需要的计数器的计数范围为0~49。

计到49后,下一个时钟沿回复到0,开始下一轮计数。

此外,当检测到特殊情况(Hold=’1’)发生时,计数器暂停计数,而系统复位信号Reset则使计数器异步清0。

3、控制器的设计

控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数译管的分位译码电路。

此外,当检测倒特殊情况(Hold=’1’)发生时,无条件点亮红色的发光二级管。

由于控制器要对计数值进行判断,很容易想到用IF语句来实现。

本控制器可以有两种设计方法,一种是利用时钟沿的下降沿读取前级计数器的计数值,然后做出反应;另一种则是将本模块设计成纯组合逻辑电路,不需要时钟驱动。

这两种方法各有所长,必须根据所用器件的特性进行选择:

比如有些FPGA有丰富的寄存器资源,而且可用于组合逻辑的资源则相对较少,那么使用第1种方法会比较节省资源;而有些CPLD的组合逻辑资源则相对较多,用第2种方法可能会更好。

大家可尝试两种方法,比较一下哪种方法所用资源较少,然后在最后的方案中采用这个方法。

4、分位译码电路的设计

因为控制器输出的倒计时数值可能是1位或者2位十进制数,所以在七段数码管的译码电路前要加上分位电路(即将其分为2个1位十进制数,如25分为2和5,7分为0和7)。

与控制器一样,分位电路同样可以由时钟驱动,也可以设计成纯组合逻辑电路。

控制器中,引入了寄存器。

三、程序语言:

-----------交通灯带有点阵显示---------------------

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

USEIEEE.STD_LOGIC_ARITH.ALL;

ENTITYjtdIS

PORT(

duan:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);--数码管显示bcd码from100to91

hang,lie:

outstd_logic_vector(7downto0);-----点阵行输出和列输出

led,light_cs:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);--数码管和灯扫描led6521||light66676869

led_no:

OUTSTD_LOGIC_VECTOR(1DOWNTO0);--43

light:

OUTSTD_LOGIC_VECTOR(2DOWNTO0);--灯727071

B1eep:

OUTSTD_LOGIC;--7

clk,jinji:

INSTD_LOGIC--频率输入和紧急处理端-----

);

ENDjtd;

ARCHITECTUREoneOFjtdIS

SIGNALclk_1k,clk_1:

STD_LOGIC;

SIGNALcnt4:

INTEGERRANGE0TO3;------------用于计数--------------

SIGNALnum,num1,num2,num3,num4:

INTEGERRANGE0TO9;

SIGNALL1,L2:

STD_LOGIC_VECTOR(2DOWNTO0);---------灯显示---------------

SIGNALtime1,time2:

INTEGERRANGE0TO25;--------------时间显示--------------

signalt:

std_logic_vector(2downto0);-----点阵信号传输

signalq:

std_logic_vector(1downto0);---------点阵输出显示信号--------

BEGIN

led_no<="11";------------把数码管第三第四位屏蔽---------------

------1KHz频率输出--------------------------

process(clk)

variablecnt1:

integerrange0to250;

variablecnt2:

integerrange0to100;

Begin

ifclk'eventandclk='1'then

ifcnt1=250then

cnt1:

=0;

ifcnt2=100then

cnt2:

=0;

clk_1k<=notclk_1k;

else

cnt2:

=cnt2+1;

endif;

else

cnt1:

=cnt1+1;

endif;

endif;

endprocess;

-----------------点阵扫描-----------

process(clk_1k)

variablecount:

integerrange0to8;

begin

ifclk_1k'eventandclk_1k='1'then

ifcount<=8then------count数用于循环扫描行用

ifcount=8then

count:

=0;

endif;

casecountis

when0=>hang<="00000001";t<="000";------扫描第一行,并把t赋予000由于信号t的变化触发下一个进程

when1=>hang<="00000010";t<="001";------扫描第二行

when2=>hang<="00000100";t<="010";------扫描第三行

when3=>hang<="00001000";t<="011";

when4=>hang<="00010000";t<="100";

when5=>hang<="00100000";t<="101";

when6=>hang<="01000000";t<="110";

when7=>hang<="10000000";t<="111";

whenothers=>hang<="00000000";t<="000";

endcase;

count:

=count+1;

endif;

endif;

endprocess;

----------------------------

process(t)

variableshu:

integerrange0to7;

begin

casetis-----根据t的值去查表

when"000"=>shu:

=0;

when"001"=>shu:

=1;----变量的赋值是立即发生的

when"010"=>shu:

=2;

when"011"=>shu:

=3;

when"100"=>shu:

=4;

when"101"=>shu:

=5;

when"110"=>shu:

=6;

when"111"=>shu:

=7;

whenothers=>null;

endcase;

caseqis-----再根据相应的值送到列上去

when"01"=>caseshuis

when0=>lie<="11111111";

when1=>lie<="11111111";

when2=>lie<="11111111";

when3=>lie<="10111101";

when4=>lie<="00000000";

when5=>lie<="10111101";

when6=>lie<="11111111";

when7=>lie<="11111111";

whenothers=>lie<="11111111";

ENDCASE;

when"10"=>caseshuis

when0=>lie<="11101111";

when1=>lie<="11000111";

when2=>lie<="10000011";

when3=>lie<="11101111";

when4=>lie<="11101111";

when5=>lie<="10000011";

when6=>lie<="11000111";

when7=>lie<="11101111";

whenothers=>lie<="11111111";

ENDCASE;

when"11"=>caseshuis

when0=>lie<="00111100";

when1=>lie<="00111100";

when2=>lie<="11011011";

when3=>lie<="11100111";

when4=>lie<="11100111";

when5=>lie<="11011011";

when6=>lie<="00111100";

when7=>lie<="00111100";

whenothers=>lie<="11111111";

ENDCASE;

whenothers=>null;

endcase;

endprocess;

-------------1s分频加计数-------------------

PROCESS(clk_1k)

VARIABLEcount_1k:

INTEGERRANGE0TO499;

BEGIN

IFclk_1k'EVENTANDclk_1k='1'THEN

IFcnt4=3THEN

cnt4<=0;

ELSE

cnt4<=cnt4+1;

ENDIF;

IFcount_1k=499THEN

count_1k:

=0;

clk_1<=NOTclk_1;

ELSE

count_1k:

=count_1k+1;

ENDIF;

ENDIF;

ENDPROCESS;

-----------------倒计时和灯显示-----------------------

PROCESS(cnt4,L1,L2,time1,time2)

BEGIN

CASEtime1IS-------------输出时间1------------

WHEN0TO9=>num1<=0;

WHEN10TO19=>num1<=1;

WHEN20TO25=>num1<=2;

WHENOTHERS=>num1<=0;

ENDCASE;

num2<=time1REM10;------------取余-----

CASEtime2IS

WHEN0TO9=>num3<=0;

WHEN10TO19=>num3<=1;

WHEN20TO25=>num3<=2;

WHENOTHERS=>num3<=0;

ENDCASE;

num4<=time2REM10;

CASEcnt4IS

WHEN0=>

led<="1110";-------------动态扫描数码管(从右到左)--------

num<=num1;

light_cs<="1110";

light<=L1;

WHEN1=>

led<="1101";

num<=num2;

light_cs<="1101";

light<=L2;

WHEN2=>

led<="1011";

num<=num3;

light_cs<="1011";

light<=L1;

WHEN3=>

led<="0111";

num<=num4;

light_cs<="0111";

light<=L2;

WHENOTHERS=>

led<="1111";

light_cs<="1111";

ENDCASE;

ENDPROCESS;

--------------------译码------------------------

PROCESS(num)

BEGIN

CASEnumIS

WHEN0=>duan<="00111111";------0到9-------------

WHEN1=>duan<="00000110";

WHEN2=>duan<="01011011";

WHEN3=>duan<="01001111";

WHEN4=>duan<="01100110";

WHEN5=>duan<="01101101";

WHEN6=>duan<="01111101";

WHEN7=>duan<="00000111";

WHEN8=>duan<="01111111";

WHEN9=>duan<="01101111";

WHENOTHERS=>duan<="00000000";

ENDCASE;

ENDPROCESS;

--------------------------------------

PROCESS(clk_1)

VARIABLEi,j:

INTEGERRANGE0TO2:

=0;

VARIABLEw:

INTEGERRANGE0TO1:

=0;

BEGIN

IFclk_1'EVENTANDclk_1='1'THEN

IFjinji='0'then----------------判断是否要紧急制动------------

IFtime1=0THEN

IFi=2THEN

i:

=0;

ELSE

i:

=i+1;

ENDIF;

CASEiIS

WHEN1=>

time1<=20;

L1<="001";

B1eep<='0';

WHEN2=>

time1<=5;

L1<="010";

B1eep<='1';

WHEN0=>

time1<=25;

L1<="100";

B1eep<='0';

q<="01";--------------点阵显示前进方向-----------

WHENOTHERS=>

time1<=24;

ENDCASE;

ELSE

time1<=time1-1;

ENDIF;

IFtime2=0THEN

IFj=2THEN

j:

=0;

ELSE

j:

=j+1;

ENDIF;

CASEjIS

WHEN1=>

time2<=25;

L2<="100";

B1eep<='0';

q<="10";--------------点阵显示前进方向-----------

WHEN2=>

time2<=20;

L2<="001";

B1eep<='0';

WHEN0=>

time2<=5;

L2<="010";

B1eep<='1';

WHENOTHERS=>

time2<=24;

ENDCASE;

ELSE

time2<=time2-1;

ENDIF;

else------------------出现紧急制动情况的表现-----------

B1eep<='1';

time1<=0;

time2<=0;

q<="11";

IFw=1THEN

w:

=0;

ELSE

w:

=w+1;

ENDIF;

CASEwIS---------------红灯的闪烁--------------

WHEN1=>

L1<="100";

L2<="100";

WHEN0=>

L1<="000";

L2<="000";

endcase;

endif;

ENDIF;

ENDPROCESS;

ENDone;

四、管脚分配

五、硬件下载实现现象描述

硬件上的实现是

(1)、东西方向的绿灯,跟黄灯亮25s时,南北方向亮着红灯,当南北方向红灯亮到25s时,将变成绿灯,而在东西方向黄灯亮5s时蜂鸣器同时响5s,

(2)、而与此同时,一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别是20s、5s和25s。

(3)、点阵也会显示出东西,南北方向通行是的指示标。

(4)、当拨码开关拨表示出现特殊情况时,如当消防车、救护车或其他需要优先放行的车辆通过时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁,点阵出现X禁止通行的标号。

当特殊运行状态结束后(即拨回拨码开关),控制器恢复原来状态,继续正常运行。

六:

体会、对设计工作的总结及展望

这次的交通灯控制器是将点阵,彩灯,数码管的程序结合起来编写的,刚开始是真的觉得很难,但是通过慢慢的分析开来,最后终于成功了。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2