VHDL语言数字系统中英文对照外文翻译文献.docx

上传人:b****8 文档编号:9542517 上传时间:2023-05-19 格式:DOCX 页数:19 大小:47.36KB
下载 相关 举报
VHDL语言数字系统中英文对照外文翻译文献.docx_第1页
第1页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第2页
第2页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第3页
第3页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第4页
第4页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第5页
第5页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第6页
第6页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第7页
第7页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第8页
第8页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第9页
第9页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第10页
第10页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第11页
第11页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第12页
第12页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第13页
第13页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第14页
第14页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第15页
第15页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第16页
第16页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第17页
第17页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第18页
第18页 / 共19页
VHDL语言数字系统中英文对照外文翻译文献.docx_第19页
第19页 / 共19页
亲,该文档总共19页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

VHDL语言数字系统中英文对照外文翻译文献.docx

《VHDL语言数字系统中英文对照外文翻译文献.docx》由会员分享,可在线阅读,更多相关《VHDL语言数字系统中英文对照外文翻译文献.docx(19页珍藏版)》请在冰点文库上搜索。

VHDL语言数字系统中英文对照外文翻译文献.docx

VHDL语言数字系统中英文对照外文翻译文献

中英文对照外文翻译

(文档含英文原文和中文翻译)

DesigningaDigitalsystemwithVHDL

Abstract:

InthispaperadigitalsystemdesigningwithVHDLispresented.Hereareexposedsequentiallyallthephasesoftheverydigitalsystem'sdesigning.Themainmethodsarealsoonshowhere.Theprojectdescriptions’typesarepresented.ThestressisputontheuseofVHDLforsynthesisofstructuralandbehavioralmodels.

ForcreatingtheprojectofthechosendigitalsystemanintegratedsystemWebPackwasused,aswellasModelSImXEIIforthemodel'ssimulation.

Keywords:

Design,VHDL,digitalsystems,model,WebPack

1.INTRODUCTION

Thedigitalsystemsarecomplexones,consistingoflotsofcomponents.Asfarastheautomateddesignofsuchsystemsisconcerned,methodsfordesigningtimereducingandlimitingthecomplexityofthetaskaresoughtoutandapplied.Amethodofthekindisconnectedwiththedecompositionandhierarchyprinciples.Thedecompositionofthesystemsisrealizedinaway,whichdifferentiatesfunctionallyindependentmodules.

Adigitalsystemcanbedescribedasamodulewithinputsand/oroutputs.Theelectricalvaluesontheoutputsaresomefunctionofthevaluesontheinputs.

Onewayofdescribingthefunctionofamoduleistodescribehowitiscomposedofsub-modules.Eachofthesub-modulesisaninstanceofsomeentity,andtheportsoftheinstancesareconnectedusingsignals.Thiskindofdescriptioniscalledastructuraldescription.

Inmanycases,itisnotappropriatetodescribeamodulestructurally.Onesuchcaseisamodule,whichisatthebottomofthehierarchyofsomeotherstructuraldescription.Forexample,ifyouaredesigningasystemusingICpackagesboughtfromanICshop,youdonotneedtodescribetheinternalstructureofanIC.Insuchcases,adescriptionofthefunctionperformedbythemoduleisrequired,withoutreferencetoitsactualinternalstructure.Suchadescriptioniscalledafunctionalorbehavioraldescription.

Usually,forstructuralandbehavioraldescription,eitherVerilogorVHDLisused.InthispaperadesigningwithVHDLispresented.Hereareexposedsequentiallyallthephasesoftheverydigitalsystem'sdesigning.Themainmethodsarealsoonshowhere.Theprojectdescriptions’typesarepresented.ThestressisputontheuseofVHDLforsynthesisofstructuralandbehavioralmodels.HerearepresentedseveralVHDLmodelsofcomputersystems’components.

2.Methodsandstagesindigitalsystems’design

Indigitalsystems’design,aswellasdesignofcomplexsystems,acoupleofmethodsareinuse:

∙       top-downdesigning;

∙       up-downdesigning.

Intop-downdesigningthebuildingupofthesystemisusuallystartedfrombelowinuprightdirectionthroughelaboratingtheelementblocks’schemes,assembledlatertoformthewholeproduct.

Anadvantageofthismethodistheuseofrepresentationonfunctionalblocklevelandthelower,thestructurallevel,isaddressedonlyduringtheerrorchecksimulationswithintheproject.

Theup-downdesigningstartswithaspecificationonthehighestlevel.Afterthat,theprojectisbeingdecomposedintofunctionalblocksandtherequirementsfortheincomeandoutcometimeproportionsarespecified.Thefunctionalmodelsaredescribedthroughbehavioralmodelsorbymodelsonregisterlevelsandaresubsequentlysimulated.

Someoftheadvantagesofthemethodsare:

∙       аneasierexecutionofthetask’sspecifications;

∙       иtallowsaprojects’checkonsystemlevel,withouttacklingthestructuraldetails;

∙       Theproject’scheckisdone,withnoregardtothetechnologyofitsrealization.Thatallowsthatthechoiceoftechnologybemadeonalaterstageofthedesigningproject.

Themosteffectiveup-downdesigningmethodistheuseofanabstractdescriptionoftheschemeandthesequentialdetailsspecifyingofthedifferenthierarchylevels’description.

Thedigitalsystems’designgoesthroughthenextstages:

∙       Specification;

∙       Functional(electrical)designing;

∙       Physicaldesigning;

∙       Manufacturing;

∙       Testing.

Throughspecificationtheproductparameters,necessaryforitsproperdestination,aredetermined.

Throughthefunctional(electrical)designing,theelectricalscheme,responsibleforthefunctionsandparametersoftheproduct,intermsofthespecification,iselaborated.

Thebehavioralstageservesasadescriptionfortheschemeasasystem,anditsentriesandexitsaremarkedout.Inmostofthecases,VHDLmodelsareused.

TheFunctional(electrical)designingdealswithmainfunctionalblocks’elaboration.UsuallyadetailedVHDLdescriptionofthefunctionalblockismadeandbeingcheckedbyaVHDLsimulation.

Withtheincreasingcomplexityoftheprojects,fortheelaborationonstructurallevel,thetechniqueofsynthesisisapplied.ItallowsthattheschemewithlogicalelementsbesynthesizedfromaVHDLdescription.Throughlogicaldescriptiondetailssuchascharging,elements’delay,arespecifiedandcrucialmethodsandproblemswithtimescatteringofsignalsaredefined.

ThePhysicaldesigningstagesstronglydependontechnology.Thecommontaskisconcernedwiththedeployingofthelogicalelementsanddefining(tracing)theirinterrelations.

ProvidedthatfortheproductrealizationPLD,CPLDorFPGAchipsareused,thentheresultofthephysicaldesigningrepresentsaconfigurationfilefordesigningthechosendevice’sresources.

Thetestingoftheprojectrepresentsanumberofprocedures,usedbydesigners,toprovide:

∙adequacybetweenprojectandspecification;

∙theexecutionoftheprojectintermsofthechosentechnology.

Thedesigningprocessisusuallyiterative,includingpre-designingofgivenparts,untiltheintendedindicatorsareobtained.

Forthetasksoftestinginelectricaldesigning(thefunctionalityoftheproductanditselectricalparameters),simulationsareused.

Thesimulationonbehavioralleveldefineshowtheproductwillrun,beforeitsactualcompoundingblocksarechosen.Forworkingoutofthebehavioralmodels,thehardwaredescriptionlanguagesareused(VHDL,Verilogandothers).

Throughsimulation,onalogicalprimitiveslevel,theschemesarebuiltupwithbasiclogicalelements“AND-NO”,“OR-NO”,invertorsandtriggersandarebeingsimulatedinordertofindoutirrelevanceswiththeirexpectedacting.

Infunctionaltesting,thedelaysarenotconcernedortheyaresupposedsimilarforalllogicalelements.

Erroridentificationafterthephysicaldesign

Aftertopology’sfinalelaborationaremadethenextprocedures:

∙       checkoutofthetechnormsthroughoutmanufacturing;

∙       checkoutfortheproject’sauthenticity.

Thetechnormsformanufacturingarespecificforeachtechnologicalprocess.

Theauthenticityverificationoftheprojectaimstoguaranteetheproduct’sproperworking.Itincludes:

∙       findingouttheinterconnectionofthescheme;

∙       findingouttheparasitecomponentsofthetopology.

3.Typesofdesigndescriptions

Throughthedesigningprocess,threetypesofdesigndescriptionareinuse:

∙       behavioral;

∙       structural;

∙       physical.

Thebehavioraldescriptiontacklesthesystemasifitwereakindof“blackbox”withitsentrancesandexits,withnoregardtoitsstructure.Theaimistoignoretheredundantdetailsandtoconcentrateonthespecificationofthenecessaryforthefunctions,whicharetobedonebytheproduct.Onthisstage,languagesfortheapparatuspartareusedHDL(HardwareDescriptionLanguages)-VHDL,Verilogandothers.

Thestructuraldescriptiondefinesthewaythatthesystemistobebuiltup.Here,thesystem’sstructure,madeofblocksandtheirinterrelations,istackled.Thesubsystems,whicharetoprovideitsfunctionalexecution,aswellastheirdetaileddescriptionforanalysisoftheoperationalspeed,chargingandsoon,aredefined.Thestructuraldescriptioncanbepresentedbylanguagesforthedescriptionofthehardware,aswellasbyelectricalschemes.

Thedesignprocessisconnectedwiththetransformationsofthesystems’descriptionsandtheirsequentialdetailsspecification.Decompositionfrombehavioraltostructuraldescriptioncanberealizedonanumberoflevelsinahierarchy.Fromthehighesttothelowest,theselevelscanbeoutlinedasitfollows:

∙       systemlevel;

∙       functionallevel;

∙       logicallevel;

∙       schemelevel.

Onthehighestsystemlevel,thesystem’sbehaviorisrepresentedbyalgorithmsthatdescribeitsfunctions.Inorderthatthesefunctionsbeexecuted,thearchitectureofthesystemisworkedout,includingmicroprocessors,memories,mainboardsandotherstructuralcomponents.

Onthelowerlevel,thesystem’sbehaviorisdescribedbyBoliviaequations.Fortheirexecution,logicalelementsandtriggersareused.

4.UseofVHDLforsynthesisofstructuralandbehavioralmodels

VHDLisaHardwareDescriptionLanguagefordescribingdigitalsystem[2].

VHDLisdesignedtofullanumberofneedsinthedesignprocess.

VHDLcontainsanumberoffacilitiesformodifyingthestateofobjectsandcontrollingtheflowofexecutionofmodules.

InVHDL,anentityissuchamodulewhichmaybeusedasacomponentinadesign,orwhichmaybethetop-levelmoduleofthedesign.Theentitydeclarativepartmaybeusedtodeclareitems,whicharetobeusedintheimplementationoftheentity.

Onceanentityhashaditsinterfacespecifiedinanentitydeclaration,oneormoreimplementationsoftheentitycanbedescribedinarchitecturebodies.Eacharchitecturebodycandescribeadifferentviewoftheentity.

Thedeclarationsinthearchi

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2