智能扫频仪的设计与实现.docx

上传人:b****8 文档编号:9945479 上传时间:2023-05-22 格式:DOCX 页数:46 大小:1.68MB
下载 相关 举报
智能扫频仪的设计与实现.docx_第1页
第1页 / 共46页
智能扫频仪的设计与实现.docx_第2页
第2页 / 共46页
智能扫频仪的设计与实现.docx_第3页
第3页 / 共46页
智能扫频仪的设计与实现.docx_第4页
第4页 / 共46页
智能扫频仪的设计与实现.docx_第5页
第5页 / 共46页
智能扫频仪的设计与实现.docx_第6页
第6页 / 共46页
智能扫频仪的设计与实现.docx_第7页
第7页 / 共46页
智能扫频仪的设计与实现.docx_第8页
第8页 / 共46页
智能扫频仪的设计与实现.docx_第9页
第9页 / 共46页
智能扫频仪的设计与实现.docx_第10页
第10页 / 共46页
智能扫频仪的设计与实现.docx_第11页
第11页 / 共46页
智能扫频仪的设计与实现.docx_第12页
第12页 / 共46页
智能扫频仪的设计与实现.docx_第13页
第13页 / 共46页
智能扫频仪的设计与实现.docx_第14页
第14页 / 共46页
智能扫频仪的设计与实现.docx_第15页
第15页 / 共46页
智能扫频仪的设计与实现.docx_第16页
第16页 / 共46页
智能扫频仪的设计与实现.docx_第17页
第17页 / 共46页
智能扫频仪的设计与实现.docx_第18页
第18页 / 共46页
智能扫频仪的设计与实现.docx_第19页
第19页 / 共46页
智能扫频仪的设计与实现.docx_第20页
第20页 / 共46页
亲,该文档总共46页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

智能扫频仪的设计与实现.docx

《智能扫频仪的设计与实现.docx》由会员分享,可在线阅读,更多相关《智能扫频仪的设计与实现.docx(46页珍藏版)》请在冰点文库上搜索。

智能扫频仪的设计与实现.docx

智能扫频仪的设计与实现

1绪论……………………………………………………………………………1

1.1课题研究的背景及意义……………………………………………………1

1。

2国内外研究现状………………………………………………………………1

2系统总体设计………………………………………………………………3

2。

1系统设计总体框图……………………………………………………………3

2。

2系统主要模块功能概述………………………………………………………3

3主要模块硬件和软件设计………………………………………………………5

3.1扫频信号发生器的设计………………………………………………………5

3。

1。

1方案选择……………………………………………………………………5

3.1.2DDS芯片AD9850介绍………………………………………………5

3。

1。

3扫频信号发生器电路设计…………………………………………………9

3.1。

4扫频信号发生器软件设计…………………………………………………10

3.1.5扫频信号发生器测试结果…………………………………………………11

3.2幅值和相位检测电路的设计………………………………………………12

3.2。

1方案选择…………………………………………………………………12

3。

2.2幅值相位检测芯片AD8302简介………………………………………14

3。

2。

3幅值相位的检测电路设计…………………………………………………16

3。

2.4幅值和相位检测电路的调试………………………………………………17

3。

2。

5幅值和相位的测试结果……………………………………………………17

3。

3控制及处理单元……………………………………………………………18

3。

3.1单片机AT89C52介绍………………………………………………………18

3。

3。

2单片机外围电路设计………………………………………………………20

3.3.3单片机调试…………………………………………………………………21

3。

4数据采集模块………………………………………………………………21

3。

4。

1A/D转换芯片PCF8591的介绍……………………………………………21

3。

4。

2I2C总线特性………………………………………………………………26

3.4。

3PCF8591应用电路………………………………………………………26

3。

4.4数据采集软件设计…………………………………………………………27

3。

4.5数据采集电路测试结果……………………………………………………28

3。

5显示模块……………………………………………………………………29

3.5。

1LCD12864的主要性能介绍…………………………………………………29

3。

5。

2LCD12864与MCU的接口电路设计………………………………………30

3.5.3LCD12864程序设计………………………………………………………31

3.5。

4LCD12864测试结果………………………………………………………32

3。

6系统总调试…………………………………………………………………33

4辅助电路设计………………………………………………………………35

5结论……………………………………………………………………………36

附录系统原理图………………………………………………………………37

参考文献……………………………………………………………………………39

致谢………………………………………………………………………………41

 

智能扫频仪的设计与实现

摘要

扫频仪是测量网络传输特性的仪器,在现代电子测量中占据着重要的地位。

本系统着重分析了扫频仪的工作原理,提出一种基于DDS技术的扫频仪设计方案。

此设计主要由扫频信号发生器、幅值和相位检测电路、控制和处理单元以及人机接口电路四个模块组成。

其中扫频信号发生器采用DDS纯数字化方法,使其具有较快的频率转换时间、较高的频率分辨率、较低的相位噪声以及可编程控制的优点,其与幅值相位检测电路构成扫频检测系统,使扫频仪能够在全频范围内实现自动步进测量等测试要求。

本系统采用AT89C52作为控制及处理单元,使用性价比较高的专用幅值相位检测芯片AD8302,配有液晶12864显示,使扫频仪具有调试方便,性能稳定,系统界面友好等优点。

关键词:

扫频仪,DDS技术,扫频信号发生器,幅值和相位检测

 

Intelligentsweepgeneratormeterdesignandimplementation

Abstract

Sweepgeneratorisaninstrumentformeasuringtransmissioncharacteristicsofthene——twork.Inthispresentsystem,theworkingprincipleofsweepgeneratorwereanalysedemphatically,thenadesignschemebasedonDDStechnologywereputforward。

Thisde—-ignmainlyincludesthesignalfrequency,amplitudeandphasedetectioncircuit,controlandprocessingunitandahuman—machineinterfaceandsoon.Andthesweepsignalgen-—eratorusingDDSpuredigitalmethod,highfrequencyswitchingtime,highfrequencyr—-esolutionandlowphasenoise,butalsohasprogrammablecontroladvantages,coupledwiththeamplitudephasedetectioncircuit,thefrequencysweepmetercanbeadaptedtoarangeoftestrequirements。

TheuseofAT89C52asacontrolandprocessingunit,usingtheintegratedamplitudephasedetectionchip,equippedwithLCDdisplay,sothatthef--requencysweepmeterhastheadvantagesofsimpledesign,convenientadjustment,sta-—bleperformance。

Thispaperintroducesindetailthesystemhardwaredesignandtheso——ftwaredesign,theappendixonthepartoftheshow.

Keywords:

sweepgenerator,DDStechnology,sweptsignalgenerator,amplitudeandphasedetection

 

1绪论

1.1课题研究的背景和意义

在现代电子测量中,经常遇到系统网络阻抗特性及传输特性的测量问题,其中传输特性包括增益和衰减特性、幅频特性、相频特性[1]等.频率特征测性仪就是用来测量前述特性的仪器,简称扫频仪。

它在现代电子测量中占据着重要的地位,为被测网络的的调整,校准以及故障的排除提供了极大的方便。

我们使用的传统仪器如BT—4型扫频仪大多是采用不同的电子元器件构成振荡器,显示部分也是用比较过时的示波器,这种仪器体积大、稳定度差、精度不高、不易使用、价格高,而且不能显示相频特征,更不能对频率特性图进行保存和打印,不便于用户实际使用[1]。

随着电子技术的飞速发展,目前市面了也出现了很多新型数字化扫频仪,有的性能非常好,技术指标也非常高.本课题要研制的是一种简易的智能化扫频仪,根据扫频仪的工作原理,提出了一种基于DDS技术的扫频仪的设计方案。

1.2国内外研究现状

过去,幅频特性的测量是用点频测量法进行的,通过开关及旋钮用手工的方法逐点测量,不仅效率低,而且精度不高,后改用扫频的方法进行测量.早期的扫频技术采用改变腔体结构从而改变LC回路电容来完成扫频信号源的产生,这种方法由于有可动部件而存在可靠性不高的问题[2]。

气候发展看YIG小球振荡及变容二极管等技术,不仅可靠性提高,而且频率稳定性以及精度都大大提高.

目前国内外有许多生产扫频仪的厂家,他们的产品多种多样。

美国安捷伦(agilent)公司研制的E8801A矢量系统分析仪是一种高精度的仪器,它主要应用于对精密元器件的测量。

这款仪器对速度和精度有非常高的要求。

E8801A设置了多种功能,用户可以根据需要自由选择.它还具有很强的自测性能和自助工具,可以满足研发以及生产制造的要求.这款仪器有16个单独的测试端,仪器内嵌有硬盘驱动器,还有一个鼠标接口.它的频率范围300KHz~3GHz,扫频速度为35us/点.德国研制的多功能系统检测仪200,主要应用在一些线缆的测试上,如双绞线及同轴线,它的自动测试性能非常好,在短短25秒内就能够实现测量,用户能够根据自己的需要选择不同的测试标准和电缆类型,测量频率可达200MHZ。

它的内存很大,能够存储500个测量值。

这款仪器还有一个时域反射仪(TDR),能够测试线路的多种故障,检测各种连接错误并且能够分辨电缆。

德力DEVISER研发制造的DS7710A/B系统分析仪运用当前比较前沿的DDS数字化频率合成技术,精度非常高,具有10Hz的高分辨率,而且价格便宜。

它内部使用PentiumCPU,控制与显示都是全数字化的[2]。

这款仪器的性能、技术指标都非常高,目前主要用于CATV及电子元器件的研发制造领域,得到了广大用户的认可.它的频率范围:

300KHz~1300MHz,频率分辨率:

100Hz。

北京恒泰科贸有限公司研发的HT—1252系列频率特性测试仪多数用于雷达、广播和电视、共用天线、有线电视放大器、发射接收仪器的扫频动态测试。

这款仪器有一个大屏幕,界面非常清楚,方便用户观察并分析测量结果[2]。

其HT—1252—I频率特征测试仪,频率测试范围:

5MHz~1GHz,2GHz~2。

8GHz.

 

2系统总体设计

2.1系统设计总体框图

本设计着重分析了扫频仪系统的特性,提出了一种基于DDS技术的扫频仪的设计方案,并确立了扫频仪总体设计如下:

根据扫频仪的基本工作原理,扫频仪的总体方案包括五个部分,即扫频信号发生器模块,增益控制模块,幅度和相位检测模块,控制及数据处理单元模块(即CPU)以及人机接口模块(键盘及显示器)。

系统的总体结构框图如图2.1所示:

图2。

1系统总体结构框图

2.2系统主要模块功能概述

扫频信号发生器是信号的频率随时间在一定范围内反复变化的正弦信号发生器,扫频信号发生器是一种信号激励装置,是扫频仪的核心部件.本设计中,采用DDS芯片来产生频率、持续时间等均可控的稳定、平滑的正弦信号。

DDS的全称是DirectDigitalFrequencySynthesize,也就是直接数字频率合成.微电子技术的发展使直接数字频率合成技术也得到了快速的发展。

DDS是一种全数字化的频率合成器,主要由频率控制寄存器、正弦计算器、相位累加器和参考时钟等几个模块组成[3]。

增益和相位检测电路是为了检测被测网络两端的幅度比和相位差,再由A/D转换器进行量化,最后由控制和数据处理单元进行处理。

控制及数据处理单元主要实现逻辑控制、数据处理和人机交互三个主要功能,使用89C51来控制整个系统协调工作。

人机接口电路部分主要分为键盘输入和液晶显示,负责显示欢迎画面,系统需要输入的参数及测量结果并接受各种指令,以实现人对系统的控制。

本设计的主要特点在于基于DDS设计频率特性测试仪.DDS具备许多优点,如频率分辨率高、相对带宽宽、频率变换速度快、输出相位连续、可编程和全数字化便于集成等,这些使它的应用越来越广泛[4]。

它是实现设备全数字化的一个关键技术,广泛应用在电信与电子仪器领域.DDS技术的一些优势是显而易见的,因此它是目前设计扫频信号发生器较为理想的一种方案.

 

3主要模块硬件和软件设计

3.1扫频信号发生器的设计

3.1。

1方案选择

扫频信号发生器产生测试用的扫频信号,它是扫频仪系统的核心。

传统的扫频信号发生器是利用电子元器件以各种不同的形式构成振荡器,其频率精度和稳定度都不高,而且工艺复杂,分辨率低。

频率合成技术在电子设计中占有重要的地位。

它的发展经历了三个阶段.

首先被实际应用的技术是直接频率合成技术[5]。

直接频率合成技术具备许多优点,如频率范围宽、转换时间短,但是其硬件结构太复杂、设备庞大、成本高,而且难以采取有效措施抑制其输出的谐波、噪声以及寄生频率,因此本设计不采用此种方案。

随后进入应用的是锁相频率合成技术。

数字化锁相频率合成技术的形成是以数字化可编程分频器、数字化鉴相器等锁相环路部件的出现及它在锁相频率合成技术中的应用为标志的[6]。

在不断借鉴和使用一些数字化技术产品如吞脉冲计数器、多模分频器、小数分频器的基础上,数字化锁相频率合成技术慢慢走向了成熟。

锁相频率合成技术的优势是频率范围大,但它的劣势如频率转换时间长,难以达到很小的频率间隔等使它难以被广泛应用,因此本设计不采用这种方案。

直接数字频率合成(DDS)技术带来了频率合成的第二次革命。

直接数字频率合成器(DDFS)具备许多优点,频率分辨率高、相对带宽宽、频率变换速度快、输相位连续、可编程和全数字化便于集成等,这些使它的应用越来越广泛。

它是实现设备全数字化的一个关键技术,广泛应用在电信与电子仪器领域[5]。

DDS技术的一些优势是显而易见的,因此它是目前设计扫频信号发生器最理想的一种方案。

3。

1.2DDS芯片AD9850介绍

3。

1.2。

1DDS简介

DDS的全称是DirectDigitalFrequencySynthesize,也就是直接数字频率合成。

微电子技术的发展使直接数字频率合成技术也得到了快速的发展.DDS是一种全数字化的频率合成器,主要由频率控制寄存器、正弦计算器、相位累加器和参考

时钟等几个模块组成[7],它的基本结构框图见图3.1.

图3。

1DDS基本结构框图

图中参考时钟是一个稳定度非常高的晶体振荡器,DDS中各个部件通过晶体振荡器来实现同步运行。

正弦查询表是一个存储器,里面存放着一个或多个完整周期的正弦波数据。

相位累加器是由一个加法器和一个N位寄存器构成的,它是DDS整个系统最重要的部件[8]。

正弦查询表含有正弦波一个周期的幅度信息,每个地址对应正弦波的一个相位点。

相位控制字与相位寄存器的逻辑和,输入到正弦查询表对应的地址上。

输入的地址相位信息经查询表被变换成正弦波的幅度信号,经过DAC变成模拟量.最后经通滤波器滤波,可得到一个频谱纯净的正弦波[7]。

DDS有许多优点,如频率分辨率高,频率转换时相位连续,频率转换速度快,能够输出宽带正交信号,输出的相位干扰低,能够产生任意波形,对参考频率源的相位有降噪作用,全数字化实现等。

AD9850是一个采用了先进DDS技术的高集成度芯片。

可产生一个非常纯净的、频率和相位振幅可编程的正弦波信号输出。

AD9850的高速度DDS内核,加上它内部集成了一个性能非常好的模数转换器,能够产生模拟的、可变频率的正弦波,并且可产生的最高频率达62。

5MHZ[7],它的技术指标能够完全满足系统设计的要求。

AD9850具有频率范围宽的优点,性能优越,价格便宜,而且它的数据传输可以使用串行模式,节省了I/O口资源,因此采用AD9850来设计扫频信号源.

3。

1。

2.2DDS芯片AD9850

随着数字技术的飞速发展,用数字控制方法从一个参考频率源产生多种频率的技术,即直接数字频率合成(DDS)技术异军突起.美国AD公司推出的高集成度频率合成器AD9850便是采用DDS技术的典型产品之一[9]。

AD9850采用CMOS工艺,其功耗在3.3V左右。

在供电时仅为155mW,扩展工业级温度范围为—40~80℃,采用28脚SSOP表面封装形式。

AD9850的引脚排列如图3.2所示:

图3.2AD9850引脚图

图3。

3中层虚线内是一个完整的可编程DDS系统,外层虚线内包含了AD9850的主要组成部分。

图3。

4为其组成结构框图。

图3.3AD9850组成原理

AD9850内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成.可编程DDS系统的核心是相位累加器,它由一个加法器和一个N位相位寄存器组成,N一般为24~32。

每来一个外部参考时钟,相位寄存器便以补偿M递加[8].相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。

正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中0°~360°范围的一个相位点。

查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动DAC以输出模式量.相位寄存器美国2N/M个外部参考时钟后返回到初始状态一次,相应地,正弦查询表每消费一个循环也回到初始位置,从而使整个DDS系统输出一个正弦波。

输出的正弦波周期T0=Tc2N/M,频率fout=Mfc/2N,Tc、fc分别为外部参考时钟的周期和频率[9]。

AD9850采用32为的相位累加器将信号截断成14为输入到正弦查询表,查询表的输出再被截断成10位后输入到DAC,DAC再输出两个互补的电流。

DAC满量程输出电流通过一个外接电阻Rset调节,调节关系为Iset=32(1.148V/Rset),Rset的典型值为3,。

9KΩ。

将DAC的输出经低通滤波后接到AD9850内部的高速比较器上即可直接输出一个抖动很小的方波。

AD9850在接上精密时钟源和写入频率相位控制字之后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出.在125MHZ的时钟下,32位的频率控制字可使AD9850输出频率分辨率达0.0291HZ,并具有5位相位控制位,而且允许相位按增量180°,45°,90°,22.5°,11。

25°或这些值的组合进行调整[9]。

图3.4AD9850内部结构

3。

1.2。

3AD9850的控制字与控制时序

AD9850有40位控制字,32位用于频率控制,5位用于相位控制。

1位用于电源休眠控制,2位用于选择工作方式。

这40位控制字可通过并行方式或串行方式输入到AD9850,在并行装入方式中,通过8位总线D0~D7将数据输入到寄存器,在重复5次之后再在FQ_UD上升沿把40位数据从输入寄存器装入到频率/相位数据寄存器,同时把地址指针复位到第一个输入寄存器[10]。

接着在W_CLK的上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W_CLK上升沿后,W_CLK的边沿就不再起作用,直到复位信号或FQ_UD上升沿把地址指针复位到第一个寄存器。

在串行输入方式,W_CLK上升沿把25引脚的一位数据串行一如,当移动40为后,用一个FQ_UD脉冲即可更新输出频率和相位[11].图3.5为串行输入时控制时序图。

图3.5控制字串行输入时时序图

AD9850的复位信号为高电平有效,且脉冲宽度不小于5个参考时钟周期。

AD9850的参考时钟频率一般远高于单片机的时钟频率,因此AD9850的复位端可与单片机的复位端直接相连。

3.1.3扫频信号发生器电路设计

如图3.6所示,该模块设计成串行和并行皆可的方式,方便调试的时候用。

其中AD9850的频率更新控制线(FQ_UD)与51单片机的P3.0IO口相连,AD9850的复位信号控制线(RESET)与51单片机的P3.1IO口连接,AD9850的写时钟控制线(W_CLK)要与51单片机的P3.2IO口连接。

选择串行方式时,AD9850的数据线D0-—D7只需D7与51单片机P2。

7IO口连接;当选择并行时,AD9850的数据线D0-—D7需与51单片机的P2.0-—P2。

7连接.

图3。

6AD9850外围电路

3.1.4扫频信号发生器软件设计

用AD9850实现扫频信号发生器就是通过依次变换频率调整字,即修改频率调整字寄存器中的数值,从而输出各个频率的正弦波。

本系统要求扫频信号发生器发出10HZ~10MHZ的等幅正弦波,步进为10HZ。

图3.8是控制AD9850实现上述功能的主要程序设流程图。

图3.7AD9850扫频程序流程图

3。

1。

5AD9850测试结果

根据任务书的指标,应对DDS信号发生器在10HZ~10MHZ频率范围内进行测试。

表3。

1是其测试数据,图3。

9是其产生的波形图。

从测试结果可看出,AD9850能产生比较稳定的正弦波,但随着频率的增加,幅度会逐渐减小。

因10MHZ以内,幅值变化不大,无需对其幅值进行增益.

表3.1AD9850测试数据

频率

(HZ)

峰峰值(v)

频率

(kHZ)

峰峰值(mv)

频率

(MHZ)

峰峰值(v)

频率

(MHZ)

峰峰值(mv)

10

1。

07

10

1.07

1

1。

07

5

894

100

1。

07

100

1。

07

2

1.03

7

792

1000

1。

07

900

1.07

4

0。

936

10

697

a.10k波形图b.100k波形图

c。

1MH波形图d.5M波形图

e。

7M波形图f.10M波形图

图3。

8DDS波形图

3.2幅值和相位检测电路的设计

3.2。

1方案选择

对增益和相位的检测,我们拟定出两种方案。

方案一:

搭建模拟电路分别检测幅度和相位。

测量系统两端的幅度值。

由于扫频源输出的是交流信号,所以需要分别加峰值检波电路检出峰值,再用ADC将模拟信号转化成数字信号,最后由处理器对信号进行处理。

峰值检波器由“运放"和检波二极管构成[12]。

信号源输出的信号要加上一个幅度控制电路,以满足对不同系统进行测量的信号幅度要求[10]。

幅频特性测试原理框图如图3.9所示。

图3。

9幅值特性测试原理框图

相频特性测试电路(框图如图3.10)由两个过零比较器、鉴相器、低通滤波器和A/D转换器构成。

两个比较器由“运放”构成,分别将系统的输入、输出正弦信号转换为数字化信号[13]。

通过鉴相器检测出系统输入、输出信号的相位差信号,经过低通滤波器滤波得到系统的相移信号,送至ADC进行模数转换成数字化量,再送到微控制器进行处理。

图3.10相频特性测试系统框图

采用这种方法需要将峰值检波器,比较器等做到尽量匹配,否则会影响测量的精度。

由于设计模拟电路的经验不足,同时峰值检波芯片和鉴相器等芯片价格较贵,并不能达到最优设计,因此不采用这种方法进行检波和鉴相。

方案二:

采用专用的增益相位检测器件。

使用专用的增益相位检测器件,能大

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工程科技 > 材料科学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2