基于VHDL的电子计时器的设计课程设计.docx

上传人:b****0 文档编号:9982604 上传时间:2023-05-22 格式:DOCX 页数:34 大小:511.92KB
下载 相关 举报
基于VHDL的电子计时器的设计课程设计.docx_第1页
第1页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第2页
第2页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第3页
第3页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第4页
第4页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第5页
第5页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第6页
第6页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第7页
第7页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第8页
第8页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第9页
第9页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第10页
第10页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第11页
第11页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第12页
第12页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第13页
第13页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第14页
第14页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第15页
第15页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第16页
第16页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第17页
第17页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第18页
第18页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第19页
第19页 / 共34页
基于VHDL的电子计时器的设计课程设计.docx_第20页
第20页 / 共34页
亲,该文档总共34页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于VHDL的电子计时器的设计课程设计.docx

《基于VHDL的电子计时器的设计课程设计.docx》由会员分享,可在线阅读,更多相关《基于VHDL的电子计时器的设计课程设计.docx(34页珍藏版)》请在冰点文库上搜索。

基于VHDL的电子计时器的设计课程设计.docx

基于VHDL的电子计时器的设计课程设计

 

EDA技术及应用课程设计

 

题目:

基于VHDL的数字计时器

 

1引言.....................................................1

1.1EDA简介.......................................................................................1

1.2VHDL简介....................................................................................2

1.3VHDL的特点.............................................................................3

1.4VHDL的设计结构.....................................................................4

1.5VHDL的设计步骤........................................................................4

2设计主要内容.....................................5

3内部各功能模块.................................6

3.1六十进制计数模块........................................................................6

3.2二十四进制计数模块....................................................................8

3.3分频器模块..................................................................................10

3.4LED显示模块...............................................................................11

4顶层系统联调.....................................15

5结语.....................................................21

6参考文献.............................................22

7附录.....................................................23

 

1.引言

随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。

集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。

基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。

可编程逻辑器件和相应的设计技术体现在三个主要方面:

一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。

在本实验中采用了集成度较高的FPGA可编程逻辑器件,选用了VHDL硬件描述语言和MAX+plusⅡ开发软件。

VHDL硬件描述语言在电子设计自动化(EDA)中扮演着重要的角色。

由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”(Top-Down)和基于库(Library-Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短

了产品的研制周期。

MAX+plusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。

1.1EDA简介

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。

在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。

这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

1.2VHDL简介

硬件描述语言HDL(HardwareDescriptionLanguage)诞生于1962年。

HDL是用形式化的方法描述数字电路和设计数字逻辑系统的语言。

主要用于描述离散电子系统的结构和行为。

与SDL(SoftwareDescriptionLanguage)相似,经历了从机器码(晶体管和焊接)、汇编(网表)、到高级语言(HDL)的过程。

VHDL翻译成中文就是超高速集成电路硬件描述语言,他诞生于1982年。

最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。

1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。

自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,(即IEEE标准的1076-1993版本)主要是应用在数字电路的设计中。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

目前,它在中国的应用多数是用FPGA/CPLD/EPLD的设计中。

当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

VHDL主要用于描述数字系统的结构,行为,功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

1.3VHDL的特点

应用VHDL进行系统设计,有以下几方面的特点。

(一)功能强大

VHDL具有功能强大的语言结构。

它可以用明确的代码描述复杂的控制逻辑设计。

并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。

VHDL是一种设计、仿真和综合的标准硬件描述语言。

(二)可移植性

VHDL语言是一个标准语言,其设计描述可以为不同的EDA工具支持。

它可以从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台。

此外,通过更换库再重新综合很容易移植为ASIC设计。

(三)独立性

VHDL的硬件描述与具体的工艺技术和硬件结构无关。

设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。

程序设计的硬件目标器件有广阔的选择范围,可以是各系列的CPLD、FPGA及各种门阵列器件。

(四)可操作性

由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。

(五)灵活性

VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。

使其在任何大系统的设计中,随时可对设计进行仿真模拟。

所以,即使在远离门级的高层次(即使设计尚未完成时),设计者就能够对整个工程设计的结构和功能的可行性进行查验,并做出决策。

 

1.4VHDL的设计结构

VHDL描述数字电路系统设计的行为、功能、输入和输出。

它在语法上与现代编程语言相似,但包含了许多与硬件有特殊关系的结构。

VHDL将一个设计称为一个实体Entity(元件、电路或者系统),并且将它分成外部的可见部分(实体名、连接)和内部的隐藏部分(实体算法、实现)。

当定义了一个设计的实体之后,其他实体可以利用该实体,也可以开发一个实体库。

所以,内部和外部的概念对系统设计的VHDL是十分重要的。

外部的实体名或连接由实体声明Entity来描述。

而内部的实体算法或实现则由结构体Architecture来描述。

结构体可以包含相连的多个进程process或者组建component等其他并行结构。

需要说明的是,它们在硬件中都是并行运行的。

1.5VHDL的设计步骤

采用VHDL的系统设计,一般有以下6个步骤。

1)要求的功能模块划分;

2)VHDL的设计描述(设计输入);

3)代码仿真模拟(前仿真);

4)计综合、优化和布局布线;

5)布局布线后的仿真模拟(后仿真);

6)设计的实现(下载到目标器件)。

2.设计主要内容

设计一个电子计时器,给定时钟信号为512HZ,要求系统达到以下功能:

(1)用6个数码管分别显示时、分、秒,计时范围为00:

00:

00~23:

59:

59。

(2)计时精度是1s。

(3)具有启/停开关,复位开关。

图1总体方框图

 

3.内部各功能模块

本系统由六十进制计数器模块、二十四进制计数器模块、分频模块执行计时功能,输入信号是512Hz,通过分频后为1Hz,时钟信号是1Hz作为计时器的秒输入,秒为60进制计数器,分也为60进制计数器,小时采用二十四进制计数器,各级进位作为高位的使能控制。

3.1六十进制计数器模块

设计一个八位的六十进制计数器模块,输入信号为en、reset、clk,分别为使能、复位和时钟信号,输出信号为qa[3…0]、qb[3…0]、rco,分别为低4位输出、高4位输出和进位位。

图2六十进制计数器示

图3秒计数器的仿真波形图

 

波形分析

利用60进制计数器完成00到59的循环计数功能,当秒计数至59时,再来一个时钟脉冲则产生进位输出,即enmin=1;reset作为复位信号低电平有效,即高电平时正常循环计数,低电平清零。

因为这种60进制的VHDL语言是很好写的,它并不复杂,再说我们必须要学会这些基本的硬件语言的描写。

图4分钟计数器的仿真波形图

3)波形分析

小时计数模块利用24进制计数器,通过分钟的进位信号的输入可实现从00到23的循环计数。

该模块部分VHDL源程序如下:

LIBRARYieee;

USEieee.std_logic_1164.ALL;

USEieee.std_logic_unsigned.ALL;

ENTITYcount60IS

PORT(en,Reset,clk:

inSTD_LOGIC;

qa:

outSTD_LOGIC_VECTOR(3DOWNTO0);

qb:

outSTD_LOGIC_VECTOR(3DOWNTO0);

rco:

OUTSTD_LOGIC);

ENDcount60;

ARCHITECTUREaOFcount60IS

BEGIN

process(clk)

variabletma:

STD_LOGIC_VECTOR(3DOWNTO0);

variabletmb:

STD_LOGIC_VECTOR(3DOWNTO0);

begin

IfReset='0'thentma:

="0000";tmb:

="0000";

elsifclk'eventandclk='1'then

ifen='1'then

rco<=tmb

(2)andtmb(0)andtma(3)andtma(0);

iftma="1001"thentma:

="0000";

iftmb="0101"thentmb:

="0000";

elsetmb:

=tmb+1;

endif;

elsetma:

=tma+1;

endif;

endif;

endif;

qa<=tma;qb<=tmb;

endprocess;

ENDa;

3.2二十四进制计数器模块

设计一个八位的二十四进制计数器模块,输入信号为en、reset、clk,分别为使能、复位和时钟信号,输出信号为qa[3…0]、qb[3…0],分别为低4位输出、高4位输出。

图5二十四进制计数器示意图

图6小时计数器的仿真波形图

3)波形分析

小时计数模块利用24进制计数器,通过分钟的进位信号的输入可实现从00到23的循环计数。

该模块部分VHDL源程序如下:

LIBRARYieee;

USEieee.std_logic_1164.ALL;

USEieee.std_logic_unsigned.ALL;

ENTITYcount24IS

PORT(en,Reset,clk:

inSTD_LOGIC;

qa:

outSTD_LOGIC_VECTOR(3DOWNTO0);

qb:

outSTD_LOGIC_VECTOR(3DOWNTO0));

ENDcount24;

ARCHITECTUREa1OFcount24IS

BEGIN

process(clk)

variabletma:

STD_LOGIC_VECTOR(3DOWNTO0);

variabletmb:

STD_LOGIC_VECTOR(3DOWNTO0);

begin

IfReset='0'thentma:

="0000";tmb:

="0000";else

 

ifclk'eventandclk='1'then

ifen='1'then

iftma="1001"thentma:

="0000";tmb:

=tmb+1;

elsiftmb="0010"andtma="0011"then

tma:

="0000";tmb:

="0000";

elsetma:

=tma+1;

endif;

endif;

endif;endif;

qa<=tma;qb<=tmb;

endprocess;

ENDa1;

3.3分频器模块

设计一个分频器,要求将输入512HZ的时钟信号分频为1HZ的时钟信号作为计时器的秒输入。

输入信号为clk和rst,分别为时钟信号和复位信号,输出信号为clk_out,为分频器1HZ的时钟信号输出。

图7分频器示意图

 

该模块部分VHDL源程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYfenpinqiIS

PORT(CLK,RST:

instd_logic;

CLK_OUT:

outstd_logic);

ENDfenpinqi;

ARCHITECTUREbehavOFfenpinqiIS

signalclk_data:

std_logic;

SIGNALCNT6:

INTEGER:

=0;

BEGIN

PROCESS(CLK)

BEGIN

IFRST='0'THENCNT6<=0;

ELSIFCLK'EVENTANDCLK='1'THEN

IFCNT6=255THENclk_data<=NOTclk_data;CNT6<=0;

ELSECNT6<=CNT6+1;

ENDIF;

ENDIF;

CLK_OUT<=clk_data;

ENDPROCESS;

ENDbehav;

3.4LED显示模块

LED有着显示亮度高,响应速度快的特点,最常用的是七段式LED显示器,又称数码管。

七段LED显示器内部由七个条形发光二极管和一个小圆点发光二极管组成,根据各管的亮暗组合成字符。

LED数码管的g~a七个发光二极管因加正电压而发亮,因加零电压而不能发亮,不同亮暗的组合就能形成不同的字形,这种组合称之为字形码(段码),如显示”0”,字形码为3fh。

 

图8LED数码管结构图

数码管的接口有静态接口和动态接口。

动态接口采用各数码管循环轮流显示的方法,当循环显示频率较高时,利用人眼的暂留特性,看不出闪烁显示现象,这种显示需要一个接口完成字形码的输出(字形选择),另一接口完成各数码管的轮流点亮(数位选择)。

将二十四进制计数器和2个六十进制计数器的输出作为LED显示模块的输入,在时钟信号的控制下通过此模块完成6个LED数码管的显示,输出信号为WEI[2…0]和LED[6…0],分别为位选信号和段码输出。

图9LED显示示意图

 

该模块部分VHDL源程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYclock1IS

PORT(CLK:

INSTD_LOGIC;

S1,S2,S3,S4,S5,S6:

INSTD_LOGIC_VECTOR(3DOWNTO0);

WEI:

OUTSTD_LOGIC_VECTOR(2DOWNTO0);

LED:

OUTSTD_LOGIC_VECTOR(6DOWNTO0));

ENDENTITY;

ARCHITECTUREbehaveOFclock1IS

SIGNALCNT6:

INTEGERRANGE0TO5:

=0;

SIGNALSHUJU:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

PRO1:

PROCESS(CLK)

BEGIN

IFCLK'EVENTANDCLK='1'THEN

CNT6<=CNT6+1;

CASECNT6IS

WHEN0=>WEI<="000";SHUJU<=S1;

WHEN1=>WEI<="001";SHUJU<=S2;

WHEN2=>WEI<="010";SHUJU<=S3;

WHEN3=>WEI<="011";SHUJU<=S4;

WHEN4=>WEI<="100";SHUJU<=S5;

WHEN5=>WEI<="101";SHUJU<=S6;CNT6<=0;

WHENOTHERS=>NULL;

ENDCASE;

ENDIF;

ENDPROCESS;

PRO2:

PROCESS(SHUJU)

BEGIN

CASESHUJUIS

WHEN"0000"=>LED<="1111110";

WHEN"0001"=>LED<="0110000";

WHEN"0010"=>LED<="1101101";

WHEN"0011"=>LED<="1111001";

WHEN"0100"=>LED<="0110011";

WHEN"0101"=>LED<="1011011";

WHEN"0110"=>LED<="1011111";

WHEN"0111"=>LED<="1110000";

WHEN"1000"=>LED<="1111111";

WHEN"1001"=>LED<="1111011";

WHENothers=>LED<="0000000";

ENDCASE;

ENDPROCESS;

END;

4.顶层系统联调

通过上面的分频器,两个60进制的计数器,一个12/24进制的计数器,6选1扫描器,7段数码显示器,设计如图所示的顶层。

规定每一模块的功能和各模块之间的接口。

同时整个计数器有清零。

设计思想,利用脉冲时钟产生一个1Hz的信号来实现一秒钟的控制,要产生1Hz的信号就要用到分频器,实验中用512分频器把512Hz的信号变成1Hz。

然后信号进入控制秒的计数器,当第60个脉冲时钟到来时,产生一个进位信号,送到控制分的计数器,同理,当第60个脉冲时钟到来时,产生一个进位信号,送到控制小时的计数器。

当小时计数器计数到12/24时,完成一个周期,跳转到零。

输出是由动态扫描器来完成的。

扫描器时钟取至前面分频未结束时的一个512Hz的信号。

这样就能够在7段数码显示管上,以512Hz的频率扫描显示出时钟的数字变化。

通过元件例化将各个模块连接起来,组成一个整体。

元件例化就是将预先设计好的设计实体定义为一个元件,然后利用特定的语句将此元件与当前的设计实体中的指定端口相连接,从而为当前设计实体引入一个新的低一级的设计层次。

所定义的例化元件相当于一个要插在这个电路系统板上的芯片,而当前设计实体中指定的端口则相当于这块电路板上准备接受此芯片的一个插座。

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

useieee.std_logic_signed.all;

useieee.std_logic_arith.all;

entitydzjsqis

port(en,clk,reset:

instd_logic;

wei:

outstd_logic_vector(2dow

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 考试认证 > 其它考试

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2