ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:215.52KB ,
资源ID:14505731      下载积分:5 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-14505731.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(汽车尾灯控制器设计范本模板.docx)为本站会员(b****1)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

汽车尾灯控制器设计范本模板.docx

1、汽车尾灯控制器设计范本模板题目:汽车尾灯控制器的设计一 、设计要求假设汽车尾部左右两侧各有3盏指示灯,其控制功能应包括:(1) 汽车正常行驶时指示灯都不亮。(2) 汽车右转弯时,右侧的一盏指示灯亮。(3) 汽车左转弯时,左侧的一盏指示灯亮。(4) 汽车刹车时,左右两侧的一盏指示灯同时亮.(5) 汽车在夜间行驶时,左右两侧的一盏指示灯同时一直亮,供照明使用.并且可正常指示左转、右转及刹车。二、发挥部分在夜间行使时,照明的同时可正常指示左转、右转及刹车。论文部分:汽车尾灯控制器的设计 摘 要 本课程设计根据采用quartusII设计了简易的汽车尾灯控制器。系统由时钟分频模块、汽车尾灯主控模块,左边

2、灯控制模块和右边灯控制模块四部分组成.系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编译、时序仿真等.本文详细地介绍了整个设计流程。关键字 quartusII;汽车尾灯控制器;控制模块; 1引 言。.。.。.。.。.。.。.。.。.。.。.。.。.。.。.11.1 设计的目的 .。.。.。.。.。.。.。.。.。.。.。. 11。2 设计的基本内容 。.。.。.。.。.。.。.。.。.。.。.。12汽车尾灯控制器的设计过程。.。.。.。.。.。.。.。.。. 12.1系统需求分析。.。.。.。.。.。.。.。.。.。.。.。.。.。.12.2汽车尾灯控制器的工作原理.

3、。.。.。.。.。.。.。.。.22.3各组成模块原理及程序.。.。.。.。.。.。.。.。.。.。.。.。22。3.1时钟分频模块.。.。.。.。.。.。.。.。.。.。.。22。3.2汽车尾灯主控模块.。.。.。.。.。.。.。.。.32。3。3左边灯控制模块 。.。.。.。.。.。.。.。.。.。.。52.3.4右边灯控制模块。.。.。.。.。.。.。.63系统仿真 。.。.。.。.。.。.。.。.。.。.。.。.。.。.。73。1分频模块仿真及分析。.。.。.。.。.。.。.。.。.。.。.73。2汽车尾灯主控模块仿真及分析.。.。.。.。.。.。.。.。.83。3整个系统仿真及分析.

4、。.。.。.。.。.。.。.。.。.。9结束语 1引 言 随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理1实现了汽车尾灯常用控制。1.1 设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,设计能力,提高分析、解决实际问题的

5、独立工作能力。1。2 设计的基本内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。2 汽车尾灯控制器的设计过程2。1系统需求分析 根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1。 汽车正常使用是指示灯不亮2。 汽车右转时,右侧的一盏灯亮3。 汽车左转时,左侧的一盏灯亮4。 汽车刹车时,左右两侧的指示灯同时亮5.

6、汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用2。2汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。通过设置系统的输入信号:系统时钟信号CLK,汽车左转弯控制信号zuo,汽车右转弯控制信号you,刹车信号shangche,夜间行驶信号yejian和系统的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车

7、右侧3盏指示灯RD1、RD2、RD3实现以上功能。系统的整体组装设计原理所示.2.3各组成模块原理及程序 汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计.2。3。1时钟分频模块整个时钟分频模块的工作框图如图所示.时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:LIBRARY IEEE;USE IEEE。STD_LOGIC_1164.ALL;USE IEEE。STD_LOGIC_UNSIGNED。ALL;ENTITY shizhong IS PORT(CLK:IN STD_LOGIC; CP:OUT

8、STD_LOGIC);END;ARCHITECTURE ART OF shizhong ISSIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1THEN COUNT = COUNT + 1; END IF; END PROCESS; CP= COUNT(3);END ART;2.3.2 汽车尾灯主控模块汽车尾灯主控模块工作框图如图所示。汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:LIBRARY IEEE;USE IEEE。STD_LOGIC_11

9、64.ALL;ENTITY kongzhi IS PORT (zuo,you,shache,yejian: IN STD_LOGIC; LP,RP,LR,shache_led,yejian_led:OUT STD_LOGIC);END;ARCHITECTURE ART OF kongzhi ISBEGIN yejian_LED=yejian; shache_LED=shache; PROCESS(zuo,you) VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN TEMP:=zuo you; CASE TEMP IS WHEN 00 =LPLP

10、=0;RP=1;LR=0; WHEN 10 =LP=1;RP=0;LR=0; WHEN OTHERS=LP=0;RP=0;LR=1; END CASE; END PROCESS;END ART;2。3.3左边灯控制模块 左边灯控制模块的工作框图如图3。4所示.左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY zuo_led IS PORT(CLK,LP,LR,shache,yejian:IN STD_LOGIC; LEDL,LEDB,LEDN: OUT STD_LOGIC);END;

11、ARCHITECTURE ART OF zuo_led ISBEGIN LEDB=shache; LEDN=yejian;PROCESS(CLK,LP,LR)BEGIN IF CLKEVENT AND CLK = 1 THEN IF(LR =0)THEN IF(LP = 0)THEN LEDL=0; ELSE LEDL=1; END IF; ELSE LEDL =0; END IF; END IF;END PROCESS;END ART;2。3.4右边灯控制模块右边灯控制模块的工作框图如图3.5所示。右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:LIBRARY IEEE;U

12、SE IEEE.STD_LOGIC_1164.ALL;ENTITY you_led IS PORT(CLK,RP,LR,shangche,yejian:IN STD_LOGIC; LEDR,LEDB,LEDN: OUT STD_LOGIC);END;ARCHITECTURE ART OF you_led ISBEGIN LEDB=shangche; LEDN=yejian; PROCESS(CLK,RP,LR) BEGIN IF CLKEVENT AND CLK = 1 THEN IF(LR = 0)THEN IF(RP = 0)THEN LEDR =0; ELSE LEDR = 1; END

13、 IF; ELSE LEDR =0; END IF; END IF; END PROCESS;END ART;3系统仿真3。1分频模块仿真及分析分频模块由VHDL程序实现后,其仿真图如图所示。对其仿真图进行仿真分析:如图所示,首先生成一个高时钟脉冲,通过时钟分频把其脉冲分成一个低脉冲,实现了信号同步.3。2汽车尾灯主控模块仿真及分析汽车尾灯主控模块由VHDL程序实现后,其仿真图如图所示。对时序仿真图进行分析:zuo,you,yejian,shache 为输入信号,you为1表示右转,zuo为1表示左转,yejian为1表示夜间行路,shache为1表示刹车。RP,LP,yejian_led,s

14、hache_led为输出信号.如图所示:当you为1时,产生一个RP为1的信号脉冲输出,当zuo为1时,产生一个LP为1的信号脉冲输出,当yejian为1时,产生一个yejian_led为1的信号脉冲输出。当shache为1时,产生一个shache_led为1的信号脉冲输出。3。3整个系统仿真及分析按图组装系统后的仿真图如图所示.对时序仿真图进行分析:zuo,you,yejian,shache 为输入信号,you为1表示右转,zuo为1表示左转,yejian为1表示夜间行路,shache为1表示刹车。RD1,RD2,RD3为输出信号,表示汽车右侧的三盏灯。LD1,LD2,LD3为输出信号,表示汽车左侧的三盏灯。如图所示:当you为1时,RD1输出为1表示右侧灯亮,当zuo为1时,LD1为输出为1表示左侧灯亮,当yejian为1时,LD2,RD2输出均为1,表示左,右两侧各有一盏灯亮。当shache为1时,LD3,RD3输出均为1,表示左,右两侧各有一盏灯亮。结束语通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺.

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2