汽车尾灯控制器设计范本模板.docx

上传人:b****1 文档编号:14505731 上传时间:2023-06-24 格式:DOCX 页数:11 大小:215.52KB
下载 相关 举报
汽车尾灯控制器设计范本模板.docx_第1页
第1页 / 共11页
汽车尾灯控制器设计范本模板.docx_第2页
第2页 / 共11页
汽车尾灯控制器设计范本模板.docx_第3页
第3页 / 共11页
汽车尾灯控制器设计范本模板.docx_第4页
第4页 / 共11页
汽车尾灯控制器设计范本模板.docx_第5页
第5页 / 共11页
汽车尾灯控制器设计范本模板.docx_第6页
第6页 / 共11页
汽车尾灯控制器设计范本模板.docx_第7页
第7页 / 共11页
汽车尾灯控制器设计范本模板.docx_第8页
第8页 / 共11页
汽车尾灯控制器设计范本模板.docx_第9页
第9页 / 共11页
汽车尾灯控制器设计范本模板.docx_第10页
第10页 / 共11页
汽车尾灯控制器设计范本模板.docx_第11页
第11页 / 共11页
亲,该文档总共11页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

汽车尾灯控制器设计范本模板.docx

《汽车尾灯控制器设计范本模板.docx》由会员分享,可在线阅读,更多相关《汽车尾灯控制器设计范本模板.docx(11页珍藏版)》请在冰点文库上搜索。

汽车尾灯控制器设计范本模板.docx

汽车尾灯控制器设计范本模板

题目:

汽车尾灯控制器的设计

一、设计要求

假设汽车尾部左右两侧各有3盏指示灯,其控制功能应包括:

(1)汽车正常行驶时指示灯都不亮。

(2)汽车右转弯时,右侧的一盏指示灯亮。

(3)汽车左转弯时,左侧的一盏指示灯亮。

(4)汽车刹车时,左右两侧的一盏指示灯同时亮.

(5)汽车在夜间行驶时,左右两侧的一盏指示灯同时一直亮,供照明使用.并且可正常指示左转、右转及刹车。

二、发挥部分

在夜间行使时,照明的同时可正常指示左转、右转及刹车。

 

论文部分:

汽车尾灯控制器的设计

摘要本课程设计根据采用quartusII设计了简易的汽车尾灯控制器。

系统由时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块四部分组成.系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编译、时序仿真等.本文详细地介绍了整个设计流程。

关键字quartusII;汽车尾灯控制器;控制模块;

 

1引言。

...。

.。

...。

..。

.....。

..。

.。

.。

...。

..。

.。

...。

.。

.。

.1

1.1设计的目的.。

.....。

....。

..。

.。

....。

.。

.。

...。

..。

.。

...1

1。

2设计的基本内容。

.。

.。

...。

..。

..。

...。

..。

.。

.。

.。

....。

1

2汽车尾灯控制器的设计过程。

....。

.。

.。

.。

.。

.。

..。

.。

..1

2.1系统需求分析。

..。

....。

.。

...。

.。

.。

.。

.。

..。

..。

.。

....。

...。

...1

2.2汽车尾灯控制器的工作原理.。

...。

..。

..。

.。

.。

..。

.。

.2

2.3各组成模块原理及程序.。

.。

.。

..。

.。

....。

.。

..。

....。

.。

..。

.。

2

2。

3.1时钟分频模块...。

.。

.。

..。

.。

.。

.。

..。

.。

....。

..。

2

2。

3.2汽车尾灯主控模块.。

....。

.。

.。

.。

..。

..。

..。

.3

2。

3。

3左边灯控制模块。

..。

...。

.。

......。

.。

.。

....。

.。

..。

.。

5

2.3.4右边灯控制模块。

.。

.....。

......。

.....。

...。

.。

..6

3系统仿真。

...。

.。

.。

...。

..。

.。

..。

.。

..。

...。

..。

.....。

...。

..。

7

3。

1分频模块仿真及分析。

.。

.。

.。

.。

.。

..。

...。

.。

.。

..。

..7

3。

2汽车尾灯主控模块仿真及分析.。

.。

.。

.。

.。

.。

....。

.....。

..8

3。

3整个系统仿真及分析.。

.....。

...。

.。

.。

.。

..。

....。

...。

.。

9

结束语

1引言

随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。

现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。

在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。

1.1设计的目的

本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。

通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。

通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,设计能力,提高分析、解决实际问题的独立工作能力。

1。

2设计的基本内容

根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA工具对各模块进行仿真验证。

汽车尾灯控制器的设计分为4个模块:

时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。

把各个模块整合后就形成了汽车尾灯控制器。

通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。

2汽车尾灯控制器的设计过程

2。

1系统需求分析

根据现代交通规则,汽车尾灯控制器应满足以下基本要求:

1。

汽车正常使用是指示灯不亮

2。

汽车右转时,右侧的一盏灯亮

3。

汽车左转时,左侧的一盏灯亮

4。

汽车刹车时,左右两侧的指示灯同时亮

5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用

2。

2汽车尾灯控制器的工作原理

汽车尾灯控制器就是一个状态机的实例。

当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。

通过设置系统的输入信号:

系统时钟信号CLK,汽车左转弯控制信号zuo,汽车右转弯控制信号you,刹车信号shangche,夜间行驶信号yejian和系统的输出信号:

汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1、RD2、RD3实现以上功能。

系统的整体组装设计原理所示.

2.3各组成模块原理及程序

汽车尾灯控制器有4个模块组成,分别为:

时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计.

2。

3。

1时钟分频模块

整个时钟分频模块的工作框图如图所示.

时钟分频模块由VHDL程序来实现,下面是其中的一段VHDL代码:

LIBRARYIEEE;

USEIEEE。

STD_LOGIC_1164.ALL;

USEIEEE。

STD_LOGIC_UNSIGNED。

ALL;

ENTITYshizhongIS

PORT(CLK:

INSTD_LOGIC;

CP:

OUTSTD_LOGIC);

END;

ARCHITECTUREARTOFshizhongIS

SIGNALCOUNT:

STD_LOGIC_VECTOR(7DOWNTO0);

BEGIN

PROCESS(CLK)

BEGIN

IFCLK'EVENTANDCLK=’1’THEN

COUNT〈=COUNT+1;

ENDIF;

ENDPROCESS;

CP〈=COUNT(3);

ENDART;

2.3.2汽车尾灯主控模块

汽车尾灯主控模块工作框图如图所示。

汽车尾灯主控模块由VHDL程序来实现,下面是其中的一段VHDL代码:

LIBRARYIEEE;

USEIEEE。

STD_LOGIC_1164.ALL;

ENTITYkongzhiIS

PORT(zuo,you,shache,yejian:

INSTD_LOGIC;

LP,RP,LR,shache_led,yejian_led:

OUTSTD_LOGIC);

END;

ARCHITECTUREARTOFkongzhiIS

BEGIN

yejian_LED〈=yejian;

shache_LED〈=shache;

PROCESS(zuo,you)

VARIABLETEMP:

STD_LOGIC_VECTOR(1DOWNTO0);

BEGIN

TEMP:

=zuo&you;

CASETEMPIS

WHEN"00"=〉LP<=’0’;RP〈=’0’;LR〈=’0’;

WHEN"01"=>LP〈='0’;RP〈='1’;LR〈='0’;

WHEN"10"=>LP〈=’1’;RP〈=’0’;LR〈=’0’;

WHENOTHERS=〉LP<='0’;RP〈='0';LR〈='1';

ENDCASE;

ENDPROCESS;

ENDART;

2。

3.3左边灯控制模块

左边灯控制模块的工作框图如图3。

4所示.

左边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYzuo_ledIS

PORT(CLK,LP,LR,shache,yejian:

INSTD_LOGIC;

LEDL,LEDB,LEDN:

OUTSTD_LOGIC);

END;

ARCHITECTUREARTOFzuo_ledIS

BEGIN

LEDB〈=shache;

LEDN<=yejian;

PROCESS(CLK,LP,LR)

BEGIN

IFCLK’EVENTANDCLK='1’THEN

IF(LR=’0’)THEN

IF(LP='0')THEN

LEDL〈=’0’;

ELSE

LEDL〈='1’;

ENDIF;

ELSE

LEDL<=’0';

ENDIF;

ENDIF;

ENDPROCESS;

ENDART;

2。

3.4右边灯控制模块

右边灯控制模块的工作框图如图3.5所示。

右边灯控制模块由VHDL程序来实现,下面是其中的一段VHDL代码:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYyou_ledIS

PORT(CLK,RP,LR,shangche,yejian:

INSTD_LOGIC;

LEDR,LEDB,LEDN:

OUTSTD_LOGIC);

END;

ARCHITECTUREARTOFyou_ledIS

BEGIN

LEDB〈=shangche;

LEDN〈=yejian;

PROCESS(CLK,RP,LR)

BEGIN

IFCLK'EVENTANDCLK=’1'THEN

IF(LR=’0')THEN

IF(RP='0')THEN

LEDR〈='0';

ELSE

LEDR<=’1’;

ENDIF;

ELSE

LEDR<='0';

ENDIF;

ENDIF;

ENDPROCESS;

ENDART;

 

3系统仿真

3。

1分频模块仿真及分析

分频模块由VHDL程序实现后,其仿真图如图所示。

对其仿真图进行仿真分析:

如图所示,首先生成一个高时钟脉冲,通过时钟分频把其脉冲分成一个低脉冲,实现了信号同步.

3。

2汽车尾灯主控模块仿真及分析

汽车尾灯主控模块由VHDL程序实现后,其仿真图如图所示。

对时序仿真图进行分析:

zuo,you,yejian,shache为输入信号,you为1表示右转,zuo为1表示左转,yejian为1表示夜间行路,shache为1表示刹车。

RP,LP,yejian_led,shache_led为输出信号.如图所示:

当you为1时,产生一个RP为1的信号脉冲输出,当zuo为1时,产生一个LP为1的信号脉冲输出,当yejian为1时,产生一个yejian_led为1的信号脉冲输出。

当shache为1时,产生一个shache_led为1的信号脉冲输出。

3。

3整个系统仿真及分析

按图组装系统后的仿真图如图所示.

对时序仿真图进行分析:

zuo,you,yejian,shache为输入信号,you为1表示右转,zuo为1表示左转,yejian为1表示夜间行路,shache为1表示刹车。

RD1,RD2,RD3为输出信号,表示汽车右侧的三盏灯。

LD1,LD2,LD3为输出信号,表示汽车左侧的三盏灯。

如图所示:

当you为1时,RD1输出为1表示右侧灯亮,当zuo为1时,LD1为输出为1表示左侧灯亮,当yejian为1时,LD2,RD2输出均为1,表示左,右两侧各有一盏灯亮。

当shache为1时,LD3,RD3输出均为1,表示左,右两侧各有一盏灯亮。

 

结束语

通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。

本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。

它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺.

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工程科技 > 能源化工

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2