ImageVerifierCode 换一换
格式:DOCX , 页数:57 ,大小:773.36KB ,
资源ID:14867845      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-14867845.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(DSPBuilder用户指南中文.docx)为本站会员(b****5)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

DSPBuilder用户指南中文.docx

1、DSPBuilder用户指南中文DSP Builder 6.0 用户指南1.ALTERA关于 DSP Builder特性 DSP Builder支持以下特性:把MathWorks MATLAB(信号处理工具箱和滤波设计工具箱)和Simulink软件与Altera公司的Quartus II软件连接。支持以下ALTERA 器件系列:Stratix,Stratix GX,Stratix II和Stratix II GX器件Cyclone和Cyclone II器件APEXII,APEX 20KC和APEX 20KE器件Mercury器件ACEX1K器件FLEX 10K和FLEX6000器件使用Alte

2、ra DSP开发板快速建立样机。支持SignalTapII逻辑分析仪,探测来自DSP上Altera器件嵌入式信号分析仪和把数据转入到MATLAB工作空间,以利用可视化分析。在AltLib库中支持的HDL转入模块:VHDL或Verilog HDL设计授权转入转入在Quartus工程文件中的HDL回路中的硬件模块(HIL)能够使FPGA硬件在Simulink(AltLab library)中加速二次模拟。在SOPC Builder Link Library中的Avalon Blockset包括了你能用于建立一些定制逻辑的模块,这些定制逻辑和Nios II以及其它的SOPC Builder设计一起工

3、作。低级Avalon和辅Avalon接口模块Avalon Read FIFO和Avalon Write FIFO捆绑模块全部Avalon模块是用户可以配置的分离的模块可用来支持Avalon端口将Avalon接口拖拉进DSP Builder设计模块中,你能建立任何的Avalon SOPC元件根据Simulink中的仿真,你能验证Avalon接口,用生成的HDL和PTF文件把你的设计输出到SOPE Builder包括状态机模块。支持DSP系统算法和执行的统一表示。自动生成VHDL或Verilog HDL测试平台或者自动地从MATLAB和Simulink测试向量中自动生成Quartus II向量文件

4、(。vec)。自动启动Quartus II编译。使能用位及周期精确设计仿真。提供和Simulink软件一起使用的各种定点算法和逻辑运算.生成HDL信号名的自动传播。使用MATLAB工作空间或已标记的子系统变量,你能说明模块参数对话框中的大部分值。一般描述在Altera可编程逻辑器件(PLDs)的数字信号处理(DSP)系统设计中,需要高级算法与硬件描述语言(HDL)开发工具。Altera DSP Builder集成了这些工具,把MathWorks的MATLAB和Simulink系统级设计工具的算法开发、仿真和验证能力与VHDL和Verilog设计流程(包括Altera Quartus II软件)

5、组合在一起。借助于你在友好的算法环境中生成的DSP设计硬件表示,DSP Builder缩短了DSP设计周期,你能把已存在的MATLAB函数和Simulink模块与Altera DSP Builder模块以及Altera IP MegaCore函数组合在一起,把系统级设计和执行DSP算法开发连接在一起。在这种方法中,DSP Builder允许系统、算法和硬件设计人员共享一个共同的开发平台。你能使用DSP Builder中的块在Simulink中建立一个模拟系统的硬件执行。DSP Builder包含位和周期精确的Simulink块,这些块又包括许多基本操作,如算法或存储函数以及对关键设计特性优势的

6、运用,如嵌入式PLLs,DSP块或嵌入式存储器。你能使用在DSP Builder模型中的MegaCore函数去生成一体化函数。除此之外,你还能在执行部分FPGA设计的过程中体验到更快的硬件模拟性能和更丰富的仪器使用环境。DSP Builder信号编译器可读取Simulink模型文件(.mdl),使用DSP Builder和MegaCore函数生成VHDL和Verilog HDL文件及Tcl脚本,以便进行合成,硬件执行和仿真。1.2.1具有可编程逻辑的高速DSP可编程逻辑提供在专用数字信号处理器上的性能优点。可编程逻辑能够被看作为元件陈列,其中每一个能够被配置为复杂处理器例行程序.这些处理器例行

7、程序则能够以串联连接在一起(以同样的方法,数字信号处理器能够执行他们),或他们能够并联连接.在并行情况下,他们提供标准数字信号处理器同时执行上百条指令操作的性能。有益于这种改进性能的算法包括具有正向误差校正(FEC),调制/解调和加密.设计流程当使用DSP Builder时,在MATLAB/Simulink软件上生成的设计模型来启动.在你已生成你的模型后,你能为综合和Quartus II编译输出VHDL文件,或生成VHDL或Verilog HDL仿真文件.设计流程包括下列步骤:1.使用MATLAB/Simulink软件生成由Simulink与DSP Builder组合的模型。2.使用Signa

8、lCompiler模块分析你的设计。3.在Simulink中,使用监视结果的Scope模块仿真模型.4.运行SignalCompiler设置RTL仿真和综合.5.执行RTL仿真。DSP Builder支持带有Tcl脚本ModelSim软件的自动化流程。你也能使用在其他仿真工具中的手动仿真生成的VHDL或Verilog HDL.6.使用由DSP Builder SignalCompiler模块生成的输出文件来执行RTL综合.对于带有Tcl脚本的Quartus II,Synthesis,Precision RTL Synthesis或LeonardoSpectrum软件,DSP Builder支持

9、自动化综合流程.作为选择的,你能使用其它的综合工具,手工综合VHDL文件.7.在Quartus II软件中编译你的设计。8.下载到一个硬件开发板上并测试。图形1-1展示了使用DSP Builder设计的系统级设计流程。作为一个自动化设计流程,SignalCompler模块为在Quartus II,LeonardoSperctrum,Precision RTL,或Synplify软件生成VHDL和Tcl脚本,并在Quartus II软件中进行编译。Tcl脚本使你能在MATLAB和Simulink环境下自动地执行综合和编译过程.你同样能在其他软件工具中不使用Tcl脚本综合和仿真输出文件。另外,Si

10、gnalCompiler模块可为VHDL或Verilog HDL仿真产生模型和一个实验平台。参考第320页的“综合,编译及仿真设计流程”,可以获得更多关于使用SignalCompiler控制DSP Builder设计流程的信息。符号说明符号代表意思1。,2。,3;a.,b。,c.表示索引目录中重要的部分;例如:程序的步骤列表表示索引目录中不重要的部分表示程序只由一步组成表示此条信息需要特别注意第二章 获取启动(5.01用户指南)在Altera可编程器件(PLD)上,数字信号处理系统设计既需要高级算法,也需要硬件描述语言(HDL)开发工具。利用包括Altera Quartus 软件的VHDL和V

11、erilog设计流程,组合算法开发模型和MathWorks 的MATLAB和Simulink系统设计工具的验证能力,Altera DSP Builder集成了这些工具。 借助于你在算法友好开发环境中生成的DSP设计硬件表示,DSP Builder缩短了设计周期,你能用Altera DSP Builde模块和Altera 兆核(MegaCore)函数联合已存在的MATLAB函数和Simulink模块,用DSP算法开发链接系统级设计和执行。DSP Builder允许系统算法和硬件设计师共享一个共同的开发平台.你能使用在DSP Builder的一些模块来生成在Simulink采样时间中的建模的系统硬

12、件执行。DSP Builder包含位和周期精确的Simulink模块它复盖基本的操作,例如:算术的或存储功能,采取了关键器件性能的优点,例如:建立PLL,DSP模块或嵌入存储器。利用你的DSP Builder模型的兆核函数,你能集成复杂的功能。根据执行你在FPGA上的设计,你也能体验较快速的性能和硬件二次模拟的丰富手段.DSP Builder Signal Compiler模块阅读Simulink模型文件(。mdl),.mdl文件是利用DSP Builder和MegaCore函数建立的,以及Singal Compiler模块为综合,硬件执行和模拟生成了VHDL和VerilogHDL文件和Tcl

13、(工具命令语言)脚本。一、DSP Builde软件要求下面是用DSP Builder模块生成HDL文件的软件要求:MATLAB 6。5版或更高版本Simulink 5。0版或更高版本Quartus 5。0 sp1版或更高版本DSP Builder提供了运用工具命令语言(Tcl)的自动设计流程、手动设计流程和Quartus 的本机合成,自动流程也支持:Synplify software 8.0版或更高版本LeonardoSpectrum software 2004版或更高版本Precision RTL synthesis software 2004c或更高版本ModelSim simulator

14、 6。0版或更高(包括 ModelSimAltera,PE和SE)需要了解用户指南中提到的各种软件的信息,请参看各软件中的信息文档.设计流程当使用DSP Builder建立一个设计时,你用在MATLABSimulink软件中生成的一个模型来开始(启动),在你已经生成了你的模型后,你能输出用于合成和Quartus 编译的VHDL文件或生成VHDL、VerilogHDL仿真的文件.设计流程涉及下列步骤:1利用MATLABSimulink软件生成一个联合了Simulink和DSP Builder模块的模型。2执行RTL(寄存器级)模拟,DSP Builder支持Modelsim软件带有Tcl脚本的自

15、动流程,对于其它模拟工具上的手动仿真,你也能使用产生的VHDL或VerilogHDL。3使用由DSP Builder Singal Compiler模块生成的输出文件,执行RTL综合。DSP Builder支持Quartus ,Synplify,Precision RTL Synthesis或带有Tcl脚本的LeonardoSpectrum software自动化综合流程,相对的,你也能使用其它的综合工具和综合。你能以任意的秩序执行步骤2和3。4在Quartus 软件上编译你的设计,图21说明了使用DSP Builder系统级设计的设计流程.图21图21备注:对自动设计编程,Singal Co

16、mpiler模块为在Quartus 上综合生成了VHDL和Tcl脚本,并且能在Quartus 软件上编译。Tcl脚本让你从MATLAB和Simulink环境内自动地执行综合和编译。不懂Tcl脚本时,你也能在其它软件工具上综合模拟这些输出文件。除此之外,Singal Compiler模块生成VHDL或VerilogHDL模拟的模型和测试平台.安装DSP Builder下面的指示描述了怎样获取DSP Builder和安装在你的PC机上.获取DSP Builder:如果你有Internet访问,你能从主页中下载DSP Builder,为了通过网络下载DSP Builder,执行以下步骤:1在你的浏览

17、器键入http:/2点击下载DSP Builder的链接。3填写注册形式,点击Submit Request.4阅读特许文件协议,打开检验框,点击Proceed to find step.5跟随DSP Builder下载的指令,下载可执行的安装页,把它保存到你的硬盘.如果你不能访问网络,你可以从当地的Altera代理处购买软件。二、安装DSP Builder:为了在运行微软NT4.0,Windows2000,Windows XP上安装DSP Builder,执行下面的步骤:在安装DSP Builder之前,Altera推荐你先安装MATLAB和Simulink和Quartus 软件。1如果下面的

18、软件正在你的PC机上运行,请关闭它们.Quartus LeonardoSpectrumSyplify softwareMATLAB和SimulinkModelSimPrecision RTL Synthesis2选择RUN(Windows 开始菜单)3键入pathDSPBuilder5.0.0.exe,path是你下载的安装文件的存储路径。4点击OK,DSP Builder v5.0-InstallShield Wizard对话框出现,跟随着在线指示完成安装.你不能同时在Simulink上使用多个DSP Builder的版本。安装向导移去任何先前安装的版本,为了保留和转换旧版本,请参看122页

19、上的“在我的计算机上,我能有多个版本的DSP Builder吗。在安装以后,在MATLAB上执行以下的步骤:1启动MATLAB/Simulink软件。2利用在MATLAB提示符下的cd命令,把目录改变到DSPBuilder已安装的目录上。3改变到DSPBuilder已安装的路径AltLib subdirectory。DSPBuilder默认的安装路径是C:alteraDSP Builder。4在MATLAB提示符下键入:Steup_dspbuilder回车运行这个脚本。对于说明路径的信息,参见P1213页的“Specifying Precision RTL,LeonardoSpectrum,S

20、ynplify& Quartus singal compiler”路径信息。在安装完DSP Builder后,在MATLAB软件上,通过执行下面的步骤来观看DSP Builder库:1启动MATLAB软件。2点击MATLABSTA RT按钮,在左下角。3选择Simulink,然后选Library Browser,altera DSP Builder文件夹出现在Simulink Library Browser窗口。DSP Builder目录结构:DSP Builde把程序拷贝安装到图22所示的目录上.图22. DSP Builder目录结构:DSP Builder Altlib 包括DSP Bu

21、ilder文件,包括需要在Simulink环境内可MegaCore向导文件。DesignExamples 包含一大类使用DSP Builder模块的举例设计文件。Doc包含DSP Builder文件,包括DSP Builder用户指南,DSP Builder Reference Manual和每个DSP Builder模块的在线帮助文件。MegaCoreLib 包含需要使用MegaCore函数的DSP Builder系统文件。MegaCoreSimLib包含由DSP Builder一起提供的由MegaCore函数和参数化模块函数库所使用的仿真文件.设置特许文件:在使用DSP Builde之前,

22、你必须需要来自在你为DSP Builde设置特许文件时,你必须已经安装了Quartus 软件,且已安装在你的PC机上,同时已存在特许文件设置。为了安装你的license,你既能增补特许到你的license。dat文件,你也可以在Quartus 软件上说明分离的DSP Builde特许文件。把license附加到你的license.dat文件上:为了安装你的特许文件,执行以下步骤:1关闭下列软件Quartus LeonardoSpectrumSyplify softwareMATLAB和SimulinkModelSimPrecision RTL Synthesis2在文本编辑器打开DSP Bui

23、lde特许文件。该文件应该包含一个FEATURE行,跨越两行.3在文本编辑器上打开你的Quartus license。dat文件。4从DSP Builde特许文件中拷贝FEATURE。并把它附加到Quartus 特许文件中.不能从Quartus 特许文件中删除任何FEATURE行。5保存Quartus 特许文件.当使用编辑器例如Work或Notepad时,确保在保存后没有其它多余的后缀(例如:license。dat.txt或license.dat.doc)2DSPBuilder 指南2.1引言:这个指南使用了幅度调制设计举例singen。mdl,来证明DSP Builder设计流程.幅度调制设

24、计举例是一个调制器,它有正弦波发生器,求积乘法器和一个延时器。在该模型中的每一个模块是可参数化的。当你双击该模型里模块时,对话框显示出来,在对话框中,你能键入该模块的参数。点击这些对话框中的help按钮,观察指定模块中的在线帮助。在这个指南中的说明假定:你正使用PC机运行Windows2000或Windows XP.你熟悉MATLAB,Simulink,LeonardoSpectrum,Quartus和ModelSim软件,并且已在你的PC机的默位置安装了该软件。在这个指南中的说明假定你有Simulink软件基本知识。对于使用Simulink软件的信息,参见Simulink Help。这个指南

25、包括下列部分:在P21页的“使用Altera提供的模型”。在P22页的“生成幅度调制模型。在P220页的“执行RTL仿真”。在P223页的“综合&编译该设计。使用在DSP Builder DesignExamples目录中或你能自己生成幅度调制模型,你能执行这个指南。2。2使用Altera提供的模型如果你要使用Altera提供的文件来代替你自己生成的模型,该文件singen.mdl是放置在DSP Builder imstall pathDesignExamplesTutorialGettingstartedsinMdl目录中。为了从Demo插页中访问所完成的指南文件,在MATLAB命令提示符下

26、键入demo.如果你没有在默认的位置安装DSP Builder,你必须在综合和编译该设计之前,定义你的工件目录。为了定义你的工作目录,执行下面的步骤:1打开singen.mdl模型.2双击SignalCompiler模块。在出现的对话框中,点击Analyze。3点击singen.mdl相邻的按钮。4浏览那个已安装的singen。mdl模型文件的目录,DSP Builder imstall pathDesignExamplesTutorialGettingstartedsinMdl.5选择ModelFile,singen。mdl并点击Open。跳到220页的“performing RTL Sim

27、ulation”开始使用该模型。2。3生成幅度调制模型为了生成你自己的幅度调制模型,跟着下面部分的说明.图21表示了所完成的设计。图21幅度调制设计举例.在Simulink模型中一定不要含有汉字,并且其保存路径也不要有汉字。图22表示来自所完成设计的示波器显示2。3。1生成新的模型为了生成新的模型,执行以下步骤:1启动MATLAB软件。2选择Newmodel命令(File菜单)生成新的模型文件。3新的模型窗口选择save(File菜单).4浏览你要保存文件的目录。这个目录变成了你的工作目录。这个指南使用了DSP Builder install path DesignExamples Tutor

28、ial GettingstartedsinMdl my_sinmdl。5把文件名键入到File name框中.这个指南使用了singen。mdl名。6点击save。7点击左下角的MATLAB start按钮(这个代替了MATLAB软件早期版本的Launch Pad)。你也能用工具条图标来打开Simulink。8选择Simulink,然后选择Library Browser.下面部分描述了怎样添加模块到你的模型,并在Simulink上仿真该模型。2.3。2添加sin wave模块。执行下面的步骤添加正弦波模块:1在SimulinkLibrary Browser中,点击Simulink和Source

29、s库观看sources库的模块。2把Sine Wave模块拖到你的模型中(singen窗口).3双击你的模型中的Sine Wave模块,显示Blick Parameters对话框。4设置Sine Wave模块参数如下:Sine Type : sample based.Time : use simulation time。Amplitude : 215-1Bias : 0Samples per period : 80Number of offset examples : 0Sample time : 25e9Interpret vector parameters as I-D : Turm on

30、所完成的对话框如图23所示点击OK。要对于你能怎样计算频率的信息参见P35面的“Frequency Design Rule”中的因素.图232.3.3添加SinIN模块执行下列步骤添加SinIN模块1SimulinkLibrary Browser中展开Altera DSP Builder文件夹,显示DSP Builder库(图24)。对于该指南的剩余部分,象你将从这个文件夹中将添加多个模块那样,离开展开的altera DSP Builder文件夹.图242选择IOBus库3把Input模块从Simulink Library Browser拖到你的模型中。把该模块放置到sine wave模块的右边。当模块被选定后,你能使

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2