DSPBuilder用户指南中文.docx

上传人:b****5 文档编号:14867845 上传时间:2023-06-28 格式:DOCX 页数:57 大小:773.36KB
下载 相关 举报
DSPBuilder用户指南中文.docx_第1页
第1页 / 共57页
DSPBuilder用户指南中文.docx_第2页
第2页 / 共57页
DSPBuilder用户指南中文.docx_第3页
第3页 / 共57页
DSPBuilder用户指南中文.docx_第4页
第4页 / 共57页
DSPBuilder用户指南中文.docx_第5页
第5页 / 共57页
DSPBuilder用户指南中文.docx_第6页
第6页 / 共57页
DSPBuilder用户指南中文.docx_第7页
第7页 / 共57页
DSPBuilder用户指南中文.docx_第8页
第8页 / 共57页
DSPBuilder用户指南中文.docx_第9页
第9页 / 共57页
DSPBuilder用户指南中文.docx_第10页
第10页 / 共57页
DSPBuilder用户指南中文.docx_第11页
第11页 / 共57页
DSPBuilder用户指南中文.docx_第12页
第12页 / 共57页
DSPBuilder用户指南中文.docx_第13页
第13页 / 共57页
DSPBuilder用户指南中文.docx_第14页
第14页 / 共57页
DSPBuilder用户指南中文.docx_第15页
第15页 / 共57页
DSPBuilder用户指南中文.docx_第16页
第16页 / 共57页
DSPBuilder用户指南中文.docx_第17页
第17页 / 共57页
DSPBuilder用户指南中文.docx_第18页
第18页 / 共57页
DSPBuilder用户指南中文.docx_第19页
第19页 / 共57页
DSPBuilder用户指南中文.docx_第20页
第20页 / 共57页
亲,该文档总共57页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

DSPBuilder用户指南中文.docx

《DSPBuilder用户指南中文.docx》由会员分享,可在线阅读,更多相关《DSPBuilder用户指南中文.docx(57页珍藏版)》请在冰点文库上搜索。

DSPBuilder用户指南中文.docx

DSPBuilder用户指南中文

DSPBuilder6.0用户指南

1.

ALTERA

关于DSPBuilder

特性

⏹DSPBuilder支持以下特性:

把MathWorksMATLAB(信号处理工具箱和滤波设计工具箱)和Simulink软件与Altera公司的QuartusII软件连接。

⏹支持以下ALTERA器件系列:

●Stratix®,StratixGX,StratixII和StratixIIGX器件

●Cyclone和CycloneII器件

●APEX™II,APEX20KC和APEX20KE器件

●Mercury™器件

●ACEX®1K器件

●FLEX10K®和FLEX®6000器件

⏹使用AlteraDSP开发板快速建立样机。

⏹支持SignalTap®II逻辑分析仪,探测来自DSP上Altera器件嵌入式信号分析仪和把数据转入到MATLAB工作空间,以利用可视化分析。

⏹在AltLib库中支持的HDL转入模块:

●VHDL或VerilogHDL设计授权转入

●转入在Quartus工程文件中的HDL

⏹回路中的硬件模块(HIL)能够使FPGA硬件在Simulink(AltLablibrary)中加速二次模拟。

⏹在SOPCBuilderLinkLibrary中的AvalonBlockset包括了你能用于建立一些定制逻辑的模块,这些定制逻辑和NiosII以及其它的SOPCBuilder设计一起工作。

●低级Avalon和辅Avalon接口模块

●AvalonReadFIFO和AvalonWriteFIFO捆绑模块

●全部Avalon模块是用户可以配置的

●分离的模块可用来支持Avalon端口

●将Avalon接口拖拉进DSPBuilder设计模块中,你能建立任何的AvalonSOPC元件

●根据Simulink中的仿真,你能验证Avalon接口,用生成的HDL和PTF文件把你的设计输出到SOPEBuilder

⏹包括状态机模块。

⏹支持DSP系统算法和执行的统一表示。

⏹自动生成VHDL或VerilogHDL测试平台或者自动地从MATLAB和Simulink测试向量中自动生成QuartusII向量文件(。

vec)。

⏹自动启动QuartusII编译。

⏹使能用位及周期精确设计仿真。

⏹提供和Simulink软件一起使用的各种定点算法和逻辑运算.

⏹生成HDL信号名的自动传播。

⏹使用MATLAB工作空间或已标记的子系统变量,你能说明模块参数对话框中的大部分值。

一般描述

在Altera可编程逻辑器件(PLDs)的数字信号处理(DSP)系统设计中,需要高级算法与硬件描述语言(HDL)开发工具。

AlteraDSPBuilder集成了这些工具,把MathWorks的MATLAB和Simulink系统级设计工具的算法开发、仿真和验证能力与VHDL和Verilog设计流程(包括AlteraQuartusII软件)组合在一起。

借助于你在友好的算法环境中生成的DSP设计硬件表示,DSPBuilder缩短了DSP设计周期,你能把已存在的MATLAB函数和Simulink模块与AlteraDSPBuilder模块以及AlteraIPMegaCore®函数组合在一起,把系统级设计和执行DSP算法开发连接在一起。

在这种方法中,DSPBuilder允许系统、算法和硬件设计人员共享一个共同的开发平台。

你能使用DSPBuilder中的块在Simulink中建立一个模拟系统的硬件执行。

DSPBuilder包含位和周期精确的Simulink块,这些块又包括许多基本操作,如算法或存储函数以及对关键设计特性优势的运用,如嵌入式PLLs,DSP块或嵌入式存储器。

你能使用在DSPBuilder模型中的MegaCore函数去生成一体化函数。

除此之外,你还能在执行部分FPGA设计的过程中体验到更快的硬件模拟性能和更丰富的仪器使用环境。

DSPBuilder信号编译器可读取Simulink模型文件(.mdl),使用DSPBuilder和MegaCore函数生成VHDL和VerilogHDL文件及Tcl脚本,以便进行合成,硬件执行和仿真。

1.2.1具有可编程逻辑的高速DSP

可编程逻辑提供在专用数字信号处理器上的性能优点。

可编程逻辑能够被看作为元件陈列,其中每一个能够被配置为复杂处理器例行程序.

这些处理器例行程序则能够以串联连接在一起(以同样的方法,数字信号处理器能够执行他们),或他们能够并联连接.

在并行情况下,他们提供标准数字信号处理器同时执行上百条指令操作的性能。

有益于这种改进性能的算法包括具有正向误差校正(FEC),调制/解调和加密.

设计流程

当使用DSPBuilder时,在MATLAB/Simulink软件上生成的设计模型来启动.在你已生成你的模型后,你能为综合和QuartusII编译输出VHDL文件,或生成VHDL或VerilogHDL仿真文件.

设计流程包括下列步骤:

1.使用MATLAB/Simulink软件生成由Simulink与DSPBuilder组合的模型。

2.使用SignalCompiler模块分析你的设计。

3.在Simulink中,使用监视结果的Scope模块仿真模型.

4.运行SignalCompiler设置RTL仿真和综合.

5.执行RTL仿真。

DSPBuilder支持带有Tcl脚本ModelSim软件的自动化流程。

你也能使用在其他仿真工具中的手动仿真生成的VHDL或VerilogHDL.

6.使用由DSPBuilderSignalCompiler模块生成的输出文件来执行RTL综合.对于带有Tcl脚本的QuartusII,Synthesis,PrecisionRTLSynthesis或LeonardoSpectrum软件,DSPBuilder支持自动化综合流程.作为选择的,你能使用其它的综合工具,手工综合VHDL文件.

7.在QuartusII软件中编译你的设计。

8.下载到一个硬件开发板上并测试。

图形1-1展示了使用DSPBuilder设计的系统级设计流程。

作为一个自动化设计流程,SignalCompler模块为在QuartusII,LeonardoSperctrum,PrecisionRTL,或Synplify软件生成VHDL和Tcl脚本,并在QuartusII软件中进行编译。

Tcl脚本使你能在MATLAB和Simulink环境下自动地执行综合和编译过程.你同样能在其他软件工具中不使用Tcl脚本综合和仿真输出文件。

另外,SignalCompiler模块可为VHDL或VerilogHDL仿真产生模型和一个实验平台。

参考第3—20页的“综合,编译及仿真设计流程”,可以获得更多关于使用SignalCompiler控制DSPBuilder设计流程的信息。

 

符号说明

符号

代表意思

1。

2。

,3;

a.,b。

,c.

表示索引目录中重要的部分;例如:

程序的步骤列表

■ ●

表示索引目录中不重要的部分

表示程序只由一步组成

表示此条信息需要特别注意

第二章获取启动(5.01用户指南)

在Altera可编程器件(PLD)上,数字信号处理系统设计既需要高级算法,也需要硬件描述语言(HDL)开发工具。

利用包括AlteraQuartusⅡ软件的VHDL和Verilog设计流程,组合算法开发模型和MathWorks的MATLAB和Simulink系统设计工具的验证能力,AlteraDSPBuilder集成了这些工具。

借助于你在算法友好开发环境中生成的DSP设计硬件表示,DSPBuilder缩短了设计周期,你能用AlteraDSPBuilde模块和Altera兆核(MegaCore)函数联合已存在的MATLAB函数和Simulink模块,用DSP算法开发链接系统级设计和执行。

DSPBuilder允许系统算法和硬件设计师共享一个共同的开发平台.

你能使用在DSPBuilder的一些模块来生成在Simulink采样时间中的建模的系统硬件执行。

DSPBuilder包含位和周期精确的Simulink模块—-它复盖基本的操作,例如:

算术的或存储功能,采取了关键器件性能的优点,例如:

建立PLL,DSP模块或嵌入存储器。

利用你的DSPBuilder模型的兆核函数,你能集成复杂的功能。

根据执行你在FPGA上的设计,你也能体验较快速的性能和硬件二次模拟的丰富手段.

DSPBuilderSignalCompiler模块阅读Simulink模型文件(。

mdl),.mdl文件是利用DSPBuilder和MegaCore函数建立的,以及SingalCompiler模块为综合,硬件执行和模拟生成了VHDL和VerilogHDL文件和Tcl(工具命令语言)脚本。

一、DSPBuilde软件要求

下面是用DSPBuilder模块生成HDL文件的软件要求:

■MATLAB6。

5版或更高版本

■Simulink5。

0版或更高版本

■QuartusⅡ5。

0sp1版或更高版本

DSPBuilder提供了运用工具命令语言(Tcl)的自动设计流程、手动设计流程和QuartusⅡ的本机合成,自动流程也支持:

■Synplifysoftware8.0版或更高版本

■LeonardoSpectrumsoftware2004版或更高版本

■PrecisionRTLsynthesissoftware2004c或更高版本

■ModelSimsimulator6。

0版或更高(包括ModelSim—Altera,PE和SE)

需要了解用户指南中提到的各种软件的信息,请参看各软件中的信息文档.

设计流程

当使用DSPBuilder建立一个设计时,你用在MATLAB∕Simulink软件中生成的一个模型来开始(启动),在你已经生成了你的模型后,你能输出用于合成和QuartusⅡ编译的VHDL文件或生成VHDL、VerilogHDL仿真的文件.设计流程涉及下列步骤:

1.利用MATLAB∕Simulink软件生成一个联合了Simulink和DSPBuilder模块的模型。

2.执行RTL(寄存器级)模拟,DSPBuilder支持Modelsim软件带有Tcl脚本的自动流程,对于其它模拟工具上的手动仿真,你也能使用产生的VHDL或VerilogHDL。

3.使用由DSPBuilderSingalCompiler模块生成的输出文件,执行RTL综合。

DSPBuilder支持QuartusⅡ,Synplify,PrecisionRTLSynthesis或带有Tcl脚本的LeonardoSpectrumsoftware自动化综合流程,相对的,你也能使用其它的综合工具和综合。

★ 你能以任意的秩序执行步骤2和3。

4.在QuartusⅡ软件上编译你的设计,图2-1说明了使用DSPBuilder系统级设计的设计流程.

图2-1

图2-1备注:

对自动设计编程,SingalCompiler模块为在QuartusⅡ上综合生成了VHDL和Tcl脚本,并且能在QuartusⅡ软件上编译。

Tcl脚本让你从MATLAB和Simulink环境内自动地执行综合和编译。

不懂Tcl脚本时,你也能在其它软件工具上综合模拟这些输出文件。

除此之外,SingalCompiler模块生成VHDL或VerilogHDL模拟的模型和测试平台.

安装DSPBuilder

下面的指示描述了怎样获取DSPBuilder和安装在你的PC机上.

获取DSPBuilder:

如果你有Internet访问,你能从主页中下载DSPBuilder,为了通过网络下载DSPBuilder,执行以下步骤:

1.在你的浏览器键入http:

//

2.点击下载DSPBuilder的链接。

3.填写注册形式,点击SubmitRequest.

4.阅读特许文件协议,打开检验框,点击Proceedtofindstep.

5.跟随DSPBuilder下载的指令,下载可执行的安装页,把它保存到你的硬盘.

★ 如果你不能访问网络,你可以从当地的Altera代理处购买软件。

二、安装DSPBuilder:

为了在运行微软NT4.0,Windows2000,WindowsXP上安装DSPBuilder,执行下面的步骤:

★在安装DSPBuilder之前,Altera推荐你先安装MATLAB和Simulink和QuartusⅡ软件。

1.如果下面的软件正在你的PC机上运行,请关闭它们.

●QuartusⅡ

●LeonardoSpectrum

●Syplifysoftware

●MATLAB和Simulink

●ModelSim

●PrecisionRTLSynthesis

2.选择RUN(Windows开始菜单)

3.键入<path>\DSPBuilder—5.0.0.exe,〈path>是你下载的安装文件的存储路径。

4.点击OK,DSPBuilderv5.0-InstallShieldWizard对话框出现,跟随着在线指示完成安装.

★你不能同时在Simulink上使用多个DSPBuilder的版本。

★安装向导移去任何先前安装的版本,为了保留和转换旧版本,请参看12-2页上的“在我的计算机上,我能有多个版本的DSPBuilder吗"。

在安装以后,在MATLAB上执行以下的步骤:

1.启动MATLAB/Simulink软件。

2.利用在MATLAB提示符下的cd命令,把目录改变到DSPBuilder已安装的目录上。

3.改变到<DSPBuilder已安装的路径>\AltLibsubdirectory。

DSPBuilder默认的安装路径是C:

\altera\DSPBuilder。

4.在MATLAB提示符下键入:

       Steup_dspbuilder 回车

运行这个脚本。

●※ 对于说明路径的信息,参见P12-13页的“SpecifyingPrecisionRTL,LeonardoSpectrum,Synplify&QuartusⅡsingalcompiler”路径信息。

在安装完\DSPBuilder后,在MATLAB软件上,通过执行下面的步骤来观看\DSPBuilder库:

1.启动MATLAB软件。

2.点击MATLAB START按钮,在左下角

3.选择Simulink,然后选LibraryBrowser,alteraDSPBuilder文件夹出现在SimulinkLibraryBrowser窗口。

DSPBuilder目录结构:

DSPBuilde把程序拷贝安装到图2-2所示的目录上.

图2-2.DSPBuilder目录结构:

DSPBuilder

Altlib

包括DSPBuilder文件,包括需要在Simulink环境内可MegaCore向导文件。

 DesignExamples

包含一大类使用DSPBuilder模块的举例设计文件。

Doc

  包含DSPBuilder文件,包括DSPBuilder用户指南,DSPBuilderReferenceManual和每个DSPBuilder模块的在线帮助文件。

 MegaCoreLib

包含需要使用MegaCore函数的DSPBuilder系统文件。

 MegaCoreSimLib

  包含由DSPBuilder一起提供的由MegaCore函数和参数化模块函数库所使用的仿真文件.

设置特许文件:

在使用DSPBuilde之前,你必须需要来自

★ 在你为DSPBuilde设置特许文件时,你必须已经安装了QuartusⅡ软件,且已安装在你的PC机上,同时已存在特许文件设置。

为了安装你的license,你既能增补特许到你的license。

dat文件,你也可以在QuartusⅡ软件上说明分离的DSPBuilde特许文件。

把license附加到你的license.dat文件上:

为了安装你的特许文件,执行以下步骤:

1.关闭下列软件

● QuartusⅡ

● LeonardoSpectrum

● Syplifysoftware

● MATLAB和Simulink

● ModelSim

● PrecisionRTLSynthesis

2.在文本编辑器打开DSPBuilde特许文件。

该文件应该包含一个FEATURE行,跨越两行.

3.在文本编辑器上打开你的QuartusⅡlicense。

dat文件。

4.从DSPBuilde特许文件中拷贝FEATURE。

并把它附加到QuartusⅡ特许文件中.

  ★ 不能从QuartusⅡ特许文件中删除任何FEATURE行。

5.保存QuartusⅡ特许文件.

  ★ 当使用编辑器例如Work或Notepad时,确保在保存后没有其它多余的后缀(例如:

license。

dat.txt或license.dat.doc)

 

2.DSP Builder指南

2.1 引言:

这个指南使用了幅度调制设计举例singen。

mdl,来证明DSPBuilder设计流程.

幅度调制设计举例是一个调制器,它有正弦波发生器,求积乘法器和一个延时器。

在该模型中的每一个模块是可参数化的。

当你双击该模型里模块时,对话框显示出来,在对话框中,你能键入该模块的参数。

点击这些对话框中的help按钮,观察指定模块中的在线帮助。

在这个指南中的说明假定:

■你正使用PC机运行Windows2000或WindowsXP.

■你熟悉MATLAB,Simulink,LeonardoSpectrum,QuartusⅡ和ModelSim软件,并且已在你的PC机的默位置安装了该软件。

■在这个指南中的说明假定你有Simulink软件基本知识。

对于使用Simulink软件的信息,参见SimulinkHelp。

这个指南包括下列部分:

■在P2-1页的“使用Altera提供的模型”。

■在P2-2页的“生成幅度调制模型"。

■在P2-20页的“执行RTL仿真”。

■在P2-23页的“综合&编译该设计"。

 使用在DSPBuilderDesignExamples目录中或你能自己生成幅度调制模型,你能执行这个指南。

2。

2使用Altera提供的模型

如果你要使用Altera提供的文件来代替你自己生成的模型,该文件singen.mdl是放置在<DSPBuilderimstallpath>\DesignExamples\Tutorial\GettingstartedsinMdl目录中。

为了从Demo插页中访问所完成的指南文件,在MATLAB命令提示符下键入demo.

如果你没有在默认的位置安装DSPBuilder,你必须在综合和编译该设计之前,定义你的工件目录。

为了定义你的工作目录,执行下面的步骤:

1.打开singen.mdl模型.

2.双击SignalCompiler模块。

在出现的对话框中,点击Analyze。

3.点击singen.mdl相邻的按钮。

4.浏览那个已安装的singen。

mdl模型文件的目录,<DSPBuilderimstallpath>\DesignExamples\Tutorial\GettingstartedsinMdl.

5.选择ModelFile,singen。

mdl并点击Open。

跳到2-20页的“performingRTLSimulation”开始使用该模型。

2。

3生成幅度调制模型

为了生成你自己的幅度调制模型,跟着下面部分的说明.

图2-1表示了所完成的设计。

图2-1幅度调制设计举例.

 在Simulink模型中一定不要含有汉字,并且其保存路径也不要有汉字。

图2-2表示来自所完成设计的示波器显示

2。

3。

1生成新的模型

  为了生成新的模型,执行以下步骤:

1.启动MATLAB软件。

2.选择New>model命令(File菜单)生成新的模型文件。

3.新的模型窗口选择save(File菜单).

4.浏览你要保存文件的目录。

这个目录变成了你的工作目录。

这个指南使用了

5.把文件名键入到Filename框中.这个指南使用了singen。

mdl名。

6.点击save。

7.点击左下角的MATLABstart按钮

(这个代替了MATLAB软件早期版本的LaunchPad)。

你也能用

工具条图标来打开Simulink。

8.选择Simulink,然后选择LibraryBrowser.

下面部分描述了怎样添加模块到你的模型,并在Simulink上仿真该模型。

2.3。

2添加sinwave模块。

执行下面的步骤添加正弦波模块:

1.在Simulink LibraryBrowser中,点击Simulink和Sources库观看sources库的模块。

2.把SineWave模块拖到你的模型中(singen窗口).

3.双击你的模型中的SineWave模块,显示BlickParameters对话框。

4.设置SineWave模块参数如下:

●SineType:

samplebased.

●Time:

usesimulationtime。

●Amplitude:

2^15-1

●Bias:

0

●Samplesperperiod:

80

●Numberofoffsetexamples:

0

●Sampletime:

25e—9

●InterpretvectorparametersasI-D:

Turmon

●所完成的对话框如图2-3所示点击OK。

要对于你能怎样计算频率的信息参见P3-5面的“FrequencyDesignRule”中的因素.

图2-3

2..3.3添加SinIN模块

  执行下列步骤添加SinIN模块

1.Simulink LibraryBrowser中展开AlteraDSPBuilder文件夹,显示DSPBuilder库(图2-4)。

对于该指南的剩余部分,象你将从这个文件夹中将添加多个模块那样,离开展开的alteraDSPBuilder文件夹.

图2-4

2.选择IO&Bus库

3.把Input模块从SimulinkLibraryBrowser拖到你的模型中。

把该模块放置到sinewave模块的右边。

当模块被选定后,你能使

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2