ImageVerifierCode 换一换
格式:DOCX , 页数:7 ,大小:82.52KB ,
资源ID:2447411      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-2447411.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(脉冲宽度测量仪.docx)为本站会员(b****2)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

脉冲宽度测量仪.docx

1、脉冲宽度测量仪课 程 设 计课 程 EDA技术课程设计 题 目 脉冲宽度测量仪 院 系 电子科学学院 专业班级 电子信息工程 学生姓名 学生学号 指导教师 年 月 日目录一、课程设计任务书 1 二、总体设计思想 2三、设计步骤和调试过程 2四、设计电路图 6五、实验调试结果 6六、结论及心得体会 6七、参考资料 7课程设计任务书课程 EDA技术课程设计题目 脉冲宽度测量仪专业 电子信息工程 姓名 殷作鸿 学号200802000063主要任务:采用EDA技术,设计一个能测量脉冲信号宽度的系统。系统图如下:基本要求:(1)脉冲信号宽度的测量精度为1ms。(2)脉冲信号宽度的测量范围为010s。(3

2、)调试过程中可以用按键模拟脉冲信号。(4)测量值用5位数码管显示(可以采用静态显示)。(5)输入信号为标准TTL电平。(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。(7)必须先进行前仿真,并打印出仿真波形。(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。一、总体设计思想1.基本原理 根据设计要求,系统的输入信号有:系统时钟信号CLK,系统复位信号CLR,脉冲输入信号P_IN,计数输出端.当检测到P_IN端有脉冲输入时检测模块就会输出,否则为,输给计数模块的端,当各位累计到时,会向十位进位,一次类推到万位.最后由数码管

3、显示脉冲的宽度(数码管的读数).2.设计框图系统组成方框图如下所示,它由外部输入模块、检测模块和显示模块三部分组成。检测模块是整个系统的核心,它由计数模块、控制模块、计量模块和译码显示模块构成。系统框图二、设计步骤和调试过程1、总体设计电路(1)脉冲检测模块当有检测到P_IN端有脉冲输入(P_IN=1)时,EN_OUT=1输送到计数器的EN端。(2)计数模块计数模块是对检测到的脉冲进行计数 ,计算出脉冲的宽度。 (3)译码显示模块 对输入的脉冲宽度进行显示出来。三、模块设计和相应模块程序检测程序library ieee;use ieee.std_logic_1164.all;entity ji

4、ance isport( P_IN : in std_logic; EN_OUT: out std_logic);end jiance;architecture behave of jiance isbeginprocess (P_IN)beginif(P_IN=1) then EN_OUT=1; -实现检测,若有脉冲就输出为1else EN_OUT=0; -,否则为0end if; end process;end behave;计数程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity

5、 cout10 isport(EN:in std_logic; CLK:in std_logic; CLR:in std_logic; CQ:out std_logic; Qout:BUFFER std_logic_vector(3 downto 0);end cout10;architecture art of cout10 isbeginU1:process(CLK,CLR,EN,QOUT)Beginif CLR=1 then Qout=0000;elsif CLKEVENT and CLK=1 then if EN=1 thenif Qout=1001 then Qout=0000; e

6、lse Qout=Qout+1;end if;end if ;end if ;if Qout=1001 then CQ=1;else CQ=0; end if;end PROCESS U1;end art;四、设计电路图 五、实验调试结果 为验证所设计程序是否正确,将程序下载进行硬件测试。在Quartus开发环境中进行管脚锁定,连接好数码管驱动电路,然后将目标文件下载到器件中。经过多次数据测试,显示正常,基本实现本实验的要求。六、结论及心得体会这次的课程设计对于我来说是毕业前的一次演练,从选题到画出流程图,再到写出程序,不停的编不停的改不停的查资料直至最后完成,有问题大家都坐在一起讨论,一起努力,一起攻克问题。我想我们享受的就是这个过程,而不仅仅只是结果。通过这次的设计,我知道了,在任何问题面前,只要我们努力,只要我们勇敢,我想,它们都不是问题,都不是困难。参考资料1 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006.3 阎石主编.数字电子技术基础. 北京:高教出版社,2002

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2