脉冲宽度测量仪.docx

上传人:b****2 文档编号:2447411 上传时间:2023-05-03 格式:DOCX 页数:7 大小:82.52KB
下载 相关 举报
脉冲宽度测量仪.docx_第1页
第1页 / 共7页
脉冲宽度测量仪.docx_第2页
第2页 / 共7页
脉冲宽度测量仪.docx_第3页
第3页 / 共7页
脉冲宽度测量仪.docx_第4页
第4页 / 共7页
脉冲宽度测量仪.docx_第5页
第5页 / 共7页
脉冲宽度测量仪.docx_第6页
第6页 / 共7页
脉冲宽度测量仪.docx_第7页
第7页 / 共7页
亲,该文档总共7页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

脉冲宽度测量仪.docx

《脉冲宽度测量仪.docx》由会员分享,可在线阅读,更多相关《脉冲宽度测量仪.docx(7页珍藏版)》请在冰点文库上搜索。

脉冲宽度测量仪.docx

脉冲宽度测量仪

 

课程设计

课程EDA技术课程设计

题目脉冲宽度测量仪

院系电子科学学院

专业班级电子信息工程

学生姓名

学生学号

指导教师

 

 

年月日

 

目录

一、课程设计任务书………………………………1

二、总体设计思想……………………………………2

三、设计步骤和调试过程…………………………2

四、设计电路图……………………………………6

五、实验调试结果…………………………………6

六、结论及心得体会………………………………6

七、参考资料………………………………………7

 

课程设计任务书

课程EDA技术课程设计

题目脉冲宽度测量仪

专业电子信息工程姓名殷作鸿学号200802000063

主要任务:

采用EDA技术,设计一个能测量脉冲信号宽度的系统。

系统图如下:

基本要求:

(1)脉冲信号宽度的测量精度为±1ms。

(2)脉冲信号宽度的测量范围为0~10s。

(3)调试过程中可以用按键模拟脉冲信号。

(4)测量值用5位数码管显示(可以采用静态显示)。

(5)输入信号为标准TTL电平。

(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。

(7)必须先进行前仿真,并打印出仿真波形。

(8)按要求写好设计报告(设计报告内容包括:

引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。

 

一、总体设计思想

1.基本原理

根据设计要求,系统的输入信号有:

系统时钟信号CLK,系统复位信号CLR,脉冲输入信号P_IN,计数输出端.当检测到P_IN端有脉冲输入时检测模块就会输出1,否则为0,输给计数模块的EN端,当各位累计到9时,会向十位进位,一次类推到万位.最后由数码管显示脉冲的宽度(数码管的读数).

2.设计框图

系统组成方框图如下所示,它由外部输入模块、检测模块和显示模块三部分组成。

检测模块是整个系统的核心,它由计数模块、控制模块、计量模块和译码显示模块构成。

 

系统框图

二、设计步骤和调试过程

1、总体设计电路

(1)脉冲检测模块

当有检测到P_IN端有脉冲输入(P_IN=1)时,EN_OUT=1输送到计数器的EN端。

(2)计数模块

计数模块是对检测到的脉冲进行计数,计算出脉冲的宽度。

(3)译码显示模块

对输入的脉冲宽度进行显示出来。

三、模块设计和相应模块程序

检测程序

libraryieee;

useieee.std_logic_1164.all;

entityjianceis

port(

P_IN:

instd_logic;

EN_OUT:

outstd_logic);

endjiance;

architecturebehaveofjianceis

begin

process(P_IN)

begin

if(P_IN='1')thenEN_OUT<='1';---实现检测,若有脉冲就输出为1

elseEN_OUT<='0';---,否则为0

endif;

endprocess;

endbehave;

计数程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitycout10is

port(EN:

instd_logic;

CLK:

instd_logic;

CLR:

instd_logic;

CQ:

outstd_logic;

Qout:

BUFFERstd_logic_vector(3downto0)

);

endcout10;

architectureartofcout10is

begin

U1:

process(CLK,CLR,EN,QOUT)

Begin

ifCLR='1'thenQout<="0000";

elsifCLK'EVENTandCLK='1'then

ifEN='1'then

ifQout="1001"thenQout<="0000";

elseQout<=Qout+'1';

endif;

endif;

endif;

ifQout="1001"then

CQ<='1';

else

CQ<='0';

endif;

endPROCESSU1;

endart;

 

四、设计电路图

五、实验调试结果

为验证所设计程序是否正确,将程序下载进行硬件测试。

在QuartusⅡ开发环境中进行管脚锁定,连接好数码管驱动电路,然后将目标文件下载到器件中。

经过多次数据测试,显示正常,基本实现本实验的要求。

六、结论及心得体会

这次的课程设计对于我来说是毕业前的一次演练,从选题到画出流程图,再到写出程序,不停的编不停的改不停的查资料……直至最后完成,有问题大家都坐在一起讨论,一起努力,一起攻克问题。

我想我们享受的就是这个过程,而不仅仅只是结果。

通过这次的设计,我知道了,在任何问题面前,只要我们努力,只要我们勇敢,我想,它们都不是问题,都不是困难。

 

参考资料

[1]潘松著.EDA技术实用教程(第二版).北京:

科学出版社,2005.

[2]康华光主编.电子技术基础模拟部分.北京:

高教出版社,2006.

[3]阎石主编.数字电子技术基础.北京:

高教出版社,2002

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2