ImageVerifierCode 换一换
格式:DOCX , 页数:9 ,大小:138.59KB ,
资源ID:3483829      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-3483829.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数电实验报告之集成触发器.docx)为本站会员(b****1)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

数电实验报告之集成触发器.docx

1、数电实验报告之集成触发器数字逻辑与数字系统设计实验报告D、JK触发器与广告流水灯异步时序电路VHDL语言仿真学 院 电子工程学院 班 级 卓越001012班 学 号 00101201 姓 名 冉 艳 伟 实验时间 2012.4.20 一实验目的1.了解集成触发器的工作原理。2.对Quartus II 软件使用操作有初步的了解,能用该软件进行简单的VHDL语言编程与功能仿真3、掌握VHDL设计实体的基本结构及文字规则。二实验仪器1.计算机一台2.万用表一块3.直流稳压电源一台4.数字电路实验板一台(含cycloneII FPGA芯片)5.数据下载线,JTAG连接线若干三实验内容用VHDL代码输入

2、的方法设计以下三个电路功能,并进行全程编译,执行功能和时序仿真。1.用VHDL语言描述D触发器功能。2.用VHDL语言描述JK触发器功能。3.用VHDL语言描述以下功能:用双D触发器74LS74和与非门74LS00设计一个广告流水灯同步时序电路,广告流水灯有四个灯,这四个灯始终是一暗三明且暗灯循环右移,其状态图如图5-11所示,图中表示灯亮,表示灯暗。四实验数据记录与处理1. D触发器1)VHDL语言library ieee;use ieee.std_logic_1164.all;entity Dflipflop is port(D,clock :in std_logic; Q :out st

3、d_logic);end Dflipflop;architecture behavior of Dflipflop isbegin Process (clock) begin if clockevent and clock=1 then Q=D; end if; end process;end behavior;2)功能仿真建立波形文件,功能仿真结果如下:3)时序仿真建立波形文件,时序仿真结果如下:2. JK触发器1)VHDL语言LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY jkflipflop IS PORT ( Clock : IN

4、 STD_LOGIC ; J,K : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END jkflipflop ;ARCHITECTURE Behavior OF jkflipflop IS SIGNAL Q1: STD_LOGIC ; BEGIN PROCESS ( Clock ) BEGIN IF ClockEVENT AND Clock = 1 THEN Q1 = (J AND NOT Q1)OR(NOT K AND Q1); END IF ; Q = Q1; END PROCESS ; END Behavior ;2)功能仿真建立波形文件,功能仿真结果如下3

5、)时序仿真建立波形文件,时序仿真结果如下:3. 广告流水灯1)VHDL语言LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY fd2 IS PORT ( Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC); END fd2 ;ARCHITECTURE Behavior OF fd2 IS SIGNAL D : STD_LOGIC ; BEGIN PROCESS ( Clock ) BEGIN IF ClockEVENT AND Clock = 1 THEN D = NOT D ; END IF ; Q = D;

6、 END PROCESS ; END Behavior ; LIBRARY ieee ; USE ieee.std_logic_1164.all ;PACKAGE fd2_package IS COMPONENT fd2 PORT ( Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC); END COMPONENT ;END fd2_package; LIBRARY ieee ; USE ieee.std_logic_1164.all ;LIBRARY work ; USE work.fd2_package.all;ENTITY fd4 IS PORT ( Cl

7、ock : IN STD_LOGIC ; Q0,Q1 : OUT STD_LOGIC); END fd4 ;ARCHITECTURE Structure OF fd4 IS SIGNAL W : STD_LOGIC ; BEGIN S0: fd2 PORT MAP( CLOCK, W ); Q0 = W; S1: fd2 PORT MAP( W, Q1 );END Structure ; LIBRARY ieee ; USE ieee.std_logic_1164.all ;PACKAGE fd4_package IS COMPONENT fd4 PORT ( Clock : IN STD_L

8、OGIC ; Q0,Q1 : OUT STD_LOGIC); END COMPONENT ;END fd4_package; LIBRARY ieee ; USE ieee.std_logic_1164.all ;LIBRARY work ; USE work.fd4_package.all;ENTITY liushuideng IS PORT ( Clock : IN STD_LOGIC ; Q0,Q1 : OUT STD_LOGIC ; L : OUT STD_LOGIC_VECTOR(0 TO 3); END liushuideng ;ARCHITECTURE Structure OF liushuideng IS SIGNAL W0,W1 : STD_LOGIC ; BEGIN S0: fd4 PORT MAP( CLOCK, W0, W1 ); Q0= W0; Q1= W1; L(0)= (NOT W0) OR (NOT W1); L(1)= W0 OR (NOT W1); L(2)= (NOT W0) OR W1; L(3)= W0 OR W1;END Structure ;2)功能仿真建立波形文件,功能仿真结果如下3)时序仿真建立波形文件,时序仿真结果如下: . .

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2