ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:117.15KB ,
资源ID:3625113      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-3625113.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA综合课程设计数字时钟设计一题001Word文件下载.docx)为本站会员(b****1)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

EDA综合课程设计数字时钟设计一题001Word文件下载.docx

1、根据总体方框图及各部分分配的功能可知,本系统可以由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下的设计方法,子模块利用VHDL语言设计,顶层文件用原理图的设计方法。显示:小时采用24进制,而分钟均是采用6进制和10进制的组合。数字时钟系统顶层原理图多功能数字时钟的课程设计报告1、本设计可以实现的功能1)具有时、分、秒计数显示功能,以24小时循环计时。 3)具有调节小时、分钟及清零的功能。2、初步设计的总体方框图我们可以通过实验板上的K1-K7进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。二、

2、选择方案 1、方案选择方案一:方案二:小时采用24进制,而分钟和秒均60进制。 终上所述,考虑到试验时的简单性,故我选择了方案二。三、细化框图根据自顶向下的方法以及各功能模块的的功能实现上述设计方案应系统细化框图:四、编写程序、仿真和分析1、秒计数器1)VHDL语言描述程序见附录2)秒计数器的仿真波形图3)波形分析利用60进制计数器完成00到59的循环计数功能,当秒计数至59时,再来一个时钟脉冲则产生进位输出,即enmin=1;reset作为复位信号低电平有效,即高电平时正常循环计数,低电平清零。因为这种60进制的VHDL语言是很好写的,它并不复杂,再说我们必须要学会这些基本的硬件语言的描写。

3、2、分钟计数器2)分钟计数器的仿真波形图小时计数模块利用24进制计数器,通过分钟的进位信号的输入可实现从00到23的循环计数。3、小时计数器2)小时计数器的仿真波形图4、整点报时报警模块 2)整点报时模块仿真波形图1) 波形分析由图知对于整点报时模块,当分钟计数至59时来一个时钟脉冲则产生一个进位信号,分钟计数到00,此时产生报警信号持续一分钟。当有时钟脉冲时lamp显示灯就闪烁轮续点亮。五、顶层系统的原理图1、数字时钟系统原理图2、顶层系统的波形图仿真六、设计心得体会 在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯的工作原理及具体使用方法。在连接二十四进制,六十进制的进位的

4、接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了.。 在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一致的,例如仿真的连接示意图中,往往没有接高电平的16脚或14脚以及接低电平的7脚或8脚,因此在实际的电路连接中往往容易遗漏。又例如74HC390芯片,其本身就是一个十进制计数器,在仿真电路中必须连接反馈线才能正常显示,而在实际电路中无需再连接,因此仿真图和电路连接图还是有一定区别的.。 在设计电路的连接图中出错的主要原因都是接线和芯片的接触不良以及接线的错误所引起的。附录(源程序)1、小时计数器VHDL语言源程序(底层文

5、件)LIBRARY IEEE;use IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY hour IS PORT(clk,reset:IN STD_LOGIC; daout:out STD_LOGIC_VECTOR(5 DOWNTO 0);END ENTITY hour;ARCHITECTURE fun OF hour ISSIGNAL count:STD_LOGIC_VECTOR(5 DOWNTO 0); BEGIN daout=count; PROCESS(clk,reset) IF(reset=0)THEN co

6、unt=000000; 若reset=0,则异步清零 ELSIF(clkevent and clk=1)THEN 否则,若clk上升沿到 IF(count(3 DOWNTO 0)=1001)THEN 若个位计时恰好到“1001”即9 IF(count16#23#)THEN 23进制 count=count+7; 若到23D则 else 复0 END IF; ELSIF (count16#23#)THEN 若未到23D,则count进1=count+1; ELSE 否则清零 END IF(count(3 DOWNTO 0)=“1001”) END IF(reset=0) END PROCESS;

7、END fun;2、分钟计数器VHDL语言源程序(底层文件)USE IEEE.STD_LOGIC_1164.ALL;ENTITY minute IS PORT(clk,clk1,reset,sethour: enhour:OUT STD_LOGIC;OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END ENTITY minute ;ARCHITECTURE fun OF minute IS SIGNAL count :STD_LOGIC_VECTOR (6 DOWNTO 0); SIGNAL enhour_1, enhour_2: STD_LOGIC; enmin_1为59

8、分时的进位信号 BEGIN enmin_2由clk调制后的手动调时脉冲信号串 enhour_2= (sethour and clk1); sethour为手动调时控制信号,高电平有效 enhour= (enhour_1 or enhour_2); PROCESS(clk,reset,sethour) BEGIN ) THEN 若reset为0,则异步清零0000000 IF(count (3 DOWNTO 0) =)THEN若个位计时恰好到“1001”即9 IF(count 16#60#) THEN 又若count小于16#60#,即60 IF(count=1011001) THEN又若已到5

9、9D enhour_1= 则置进位为1 count复0 ELSE 若count未到59D,则加7,即作“加6校正” 使前面的16#60#的个位转变为8421BCD的容量 ELSEcount复0(有此句,则对无效状态电路可自启动) END IF(count16#60#) ELSIF (count 16#60#) THEN 若count16#60#则count加1 after 100 ns; 没有发生进位 否则,若count不小于16#60# count复0 END process;3、秒钟计数器VHDL语言源程序(底层文件)ENTITY second ISPORT( clk,reset,setm

10、in:STD_LOGIC; enmin:END ENTITY second;ARCHITECTURE fun OF second ISSTD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL enmin_1,enmin_2: enmin_1为59秒时的进位信号 BEGIN enmin_2由clk调制后的手动调分脉冲信号串 enmin_2=(setmin and clk); setmin为手动调分控制信号,高电平有效 enmin=(enmin_1 or enmin_2); enmin为向分进位信号 PROCESS(clk,reset,setmin) 若reset为0,则异步清零 E

11、LSIF(clk )then 否则,若clk上升沿到 IF(count(3 downto 0)=)then 若个位计时恰好到“1001”即916#60#)then 又若count小于16#60#,即60H)then 又若已到59D enmin_1count则置进位为1及count复0 ELSE 未到59D 则加7,而+7=+1+6,即作“加6校正” ELSE 若count不小于16#60#(即count等于或大于16#60#) ELSIF(count16#60#)then 若个位计数未到“1001”则转此句再判16#60#则count加1 after 100 ns; 没有发生进位 ELSE 否

12、则,若count不小于16#60# 则count复0END PROCESS;4、整点报时报警模块VHDL语言源程序(底层文件)ENTITY alert IS PORT(clk: dain:IN STD_LOGIC_VECTOR(6 DOWNTO 0); speak: lamp:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END alert;ARCHITECTURE fun OF alert IS SIGNAL count:STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL count1: speaker:PROCESS(clk) speak10 count100count1为三进制加法计数器=count1+1; END IF ; END PROCESS speaker; lamper: IF(rising_edge(clk)THEN lamp001循环点亮三只灯 ELSIF(count=01010100 END PROCESS lamper;

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2