ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:407.89KB ,
资源ID:4256799      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-4256799.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(K清风EDA实验正弦信号发生器的设计.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

K清风EDA实验正弦信号发生器的设计.docx

1、K清风EDA实验正弦信号发生器的设计EDA实验-正弦信号发生器的设计学生实验报告系别电子信息学院课程名称?EDA综合实验?班级12通信实验名称正弦信号发生器的设计姓名实验时间 2021年5 月 日学号2021指导教师 王红航成绩批改时间2021年 月 日报 告 内 容一、实验目的和任务 进一步熟悉QuartusII及其LPM_ROM与FPGA硬件资源的使用方法。二、实验原理介绍EDA是电子设计自动化,对象是数字电路设计,而证选择、新号发生器的设计却是一个模拟电路设计,因此需要用到D/A转换器。根据正弦信号发生器的波形,得知该试验的输入为时间,而输出为振幅。如果将正弦波的振幅放在存储单元为64的

2、ROM中,根据ROM的功能得知,ROM中的数据与地址是一一对应的关系,因此设计由时间和振幅的对应关系转换为时间和地址的对应关系。据此,要得到正弦信号,需要设计一个6位二进制计数器。下列图为总体设计框图:在许多实用情况下,必须使用宏功能模块才能使用一些Altera特定期间的硬件功能,例如各类片上存储器、DSP模块等等。这些可以以图形或硬件描述语言模块形式方便调用的宏功能块,使得基于EDA技术的电子设计的效率和可靠性有了很大的提高。LPM是参数可设置模块库Library of Parameterized Modules的英语缩写。根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参

3、数,就能满足自己的设计需要,从而在自己的工程中十分方便的调用优秀的电子工程技术人员的硬件设计成果。LPM功能模块内容丰富,每一模块的功能、参数含义、使用方法、硬件描述语言模块参数设置及调用方法都可以在QuartusII中的help中查阅到,方法是选择helpMegafunction/LPM命令。定制LPM_ROM模块流程:1、(1)翻开QuartusII软件,选择“NEW菜单,在“others卡片下选择“HexadecimailInter-FormatFile或者“Memory Initialization File,如下列图所示。 (2)点击图中的OK后,弹出如下图的图片,改写“Number

4、 of words为64. (3)点击图中OK后,弹出如下图的图片,按照图的数据讲空白的表格填上数字,这些数字即为ROM中存储的数字。填完数字后,将该违建保存,保存的名字遵循标识符的取名规律,在此取名字为rom.hex。注意:该文件存储在工作库中。2、定制LPM_ROM原件1翻开Tools菜单,选择“Mega WiZard Plug-In Manager,如下列图5所示。 2点击翻开Mega WiZard Plug-In Manager初始对话框,选择创立新的宏功能模块,如图6所示 3点击“Next,选择宏功能模块Rom:1-PORT、使用器件ACEX1K、输出文件信息文件类型:Verilog

5、HDL;文件地址:D:11studentsyjy; 文件名称:ROM1,如图7所示。 4点击“Next,选择ROM模块存储数据位数和地址线宽既存储容量,如图8所示。 5点击“Next,选择地址锁存信号inclock,如图9所示。 6点击“Next 7默认仿真库,如图11所示,不做任何选择。 8选择产生文件类型,默认产生ROM1.v,可以自由选择让系统产生更多的文件。 3、 对生成的文件建工程,编译,仿真,生成ROM1原件。1首先在文件夹D:11studentsyjy中找到生成的文件ROM1.v;2将ROM1.v设为当前工程,并对其编译;3对ROM1.v进行仿真,仿真波形如图13所示。4生成RO

6、M1元件,如图14所示。 根据上面讲述的定制LPM_ROM模块流程,完成以下实验任务。实验任务一:根据上述QuartusII宏功能模块使用方法,设计6位计数器,对生成的counter6.v文件建工程、编译、仿真,并生成counter1元件。注意:计数器宏功能模块选择: 实验任务二:完成正弦信号发生器的完整设计,并给出其时序波形及其分析。实验任务三:硬件验证设计正弦信号发生器的功能。1.可选实验电路5;2.DAC0832的8位数据口D7.0分别和FPGA的PI031、30.24相连;时钟信号接系统的clock0。四、实验结论与心得 更好的掌握了LPM_ROM与FPGA硬件资源的使用方法,了解了其用途。将课堂所学到的知识在实验中实践,加深了同学们对知识的理解,让我们对它有了更加浓厚的兴趣。

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2