K清风EDA实验正弦信号发生器的设计.docx

上传人:b****4 文档编号:4256799 上传时间:2023-05-06 格式:DOCX 页数:10 大小:407.89KB
下载 相关 举报
K清风EDA实验正弦信号发生器的设计.docx_第1页
第1页 / 共10页
K清风EDA实验正弦信号发生器的设计.docx_第2页
第2页 / 共10页
K清风EDA实验正弦信号发生器的设计.docx_第3页
第3页 / 共10页
K清风EDA实验正弦信号发生器的设计.docx_第4页
第4页 / 共10页
K清风EDA实验正弦信号发生器的设计.docx_第5页
第5页 / 共10页
K清风EDA实验正弦信号发生器的设计.docx_第6页
第6页 / 共10页
K清风EDA实验正弦信号发生器的设计.docx_第7页
第7页 / 共10页
K清风EDA实验正弦信号发生器的设计.docx_第8页
第8页 / 共10页
K清风EDA实验正弦信号发生器的设计.docx_第9页
第9页 / 共10页
K清风EDA实验正弦信号发生器的设计.docx_第10页
第10页 / 共10页
亲,该文档总共10页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

K清风EDA实验正弦信号发生器的设计.docx

《K清风EDA实验正弦信号发生器的设计.docx》由会员分享,可在线阅读,更多相关《K清风EDA实验正弦信号发生器的设计.docx(10页珍藏版)》请在冰点文库上搜索。

K清风EDA实验正弦信号发生器的设计.docx

K清风EDA实验正弦信号发生器的设计

EDA实验-正弦信号发生器的设计

学生实验报告

系别

电子信息学院

课程名称

?

EDA综合实验?

班级

12通信

实验名称

正弦信号发生器的设计

姓名

实验时间

2021年5月日

学号

2021

指导教师

王红航

成绩

批改时间

2021年 月 日

报告内容

一、实验目的和任务

进一步熟悉QuartusII及其LPM_ROM与FPGA硬件资源的使用方法。

二、实验原理介绍

EDA是电子设计自动化,对象是数字电路设计,而证选择、新号发生器的设计却是一个模拟电路设计,因此需要用到D/A转换器。

根据正弦信号发生器的波形,得知该试验的输入为时间,而输出为振幅。

如果将正弦波的振幅放在存储单元为64的ROM中,根据ROM的功能得知,ROM中的数据与地址是一一对应的关系,因此设计由时间和振幅的对应关系转换为时间和地址的对应关系。

据此,要得到正弦信号,需要设计一个6位二进制计数器。

下列图为总体设计框图:

在许多实用情况下,必须使用宏功能模块才能使用一些Altera特定期间的硬件功能,例如各类片上存储器、DSP模块等等。

这些可以以图形或硬件描述语言模块形式方便调用的宏功能块,使得基于EDA技术的电子设计的效率和可靠性有了很大的提高。

LPM是参数可设置模块库〔LibraryofParameterizedModules〕的英语缩写。

根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,就能满足自己的设计需要,从而在自己的工程中十分方便的调用优秀的电子工程技术人员的硬件设计成果。

LPM功能模块内容丰富,每一模块的功能、参数含义、使用方法、硬件描述语言模块参数设置及调用方法都可以在QuartusII中的help中查阅到,方法是选择help→Megafunction/LPM命令。

定制LPM_ROM模块流程:

1、

(1)翻开QuartusII软件,选择“NEW〞菜单,在“others〞卡片下选择“Hexadecimail〔Inter-Format〕File〞或者“MemoryInitializationFile〞,如下列图所示。

(2)点击图中的OK后,弹出如下图的图片,改写“Numberofwords〞为64.

(3)点击图中OK后,弹出如下图的图片,按照图的数据讲空白的表格填上数字,这些数字即为ROM中存储的数字。

填完数字后,将该违建保存,保存的名字遵循标识符的取名规律,在此取名字为rom.hex。

注意:

该文件存储在工作库中。

2、定制LPM_ROM原件

〔1〕翻开Tools菜单,选择“MegaWiZardPlug-InManager〞,如下列图5所示。

〔2〕点击翻开MegaWiZardPlug-InManager初始对话框,选择创立新的宏功能模块,如图6所示

〔3〕点击“Next〞,选择宏功能模块〔Rom:

1-PORT〕、使用器件〔ACEX1K〕、输出文件信息〔文件类型:

VerilogHDL;文件地址:

D:

\\11student\syjy\;文件名称:

ROM1〕,如图7所示。

〔4〕点击“Next〞,选择ROM模块存储数据位数和地址线宽〔既存储容量〕,如图8所示。

〔5〕点击“Next〞,选择地址锁存信号inclock,如图9所示。

〔6〕点击“Next〞

〔7〕默认仿真库,如图11所示,不做任何选择。

〔8〕选择产生文件类型,默认产生ROM1.v,可以自由选择让系统产生更多的文件。

3、对生成的文件建工程,编译,仿真,生成ROM1原件。

〔1〕首先在文件夹D:

\\11student\syjy\中找到生成的文件ROM1.v;

〔2〕将ROM1.v设为当前工程,并对其编译;

〔3〕对ROM1.v进行仿真,仿真波形如图13所示。

〔4〕生成ROM1元件,如图14所示。

根据上面讲述的定制LPM_ROM模块流程,完成以下实验任务。

实验任务一:

根据上述QuartusII宏功能模块使用方法,设计6位计数器,对生成的counter6.v文件建工程、编译、仿真,并生成counter1元件。

注意:

计数器宏功能模块选择:

实验任务二:

完成正弦信号发生器的完整设计,并给出其时序波形及其分析。

实验任务三:

硬件验证设计正弦信号发生器的功能。

1.可选实验电路5;

2.DAC0832的8位数据口D[7..0]分别和FPGA的PI031、30....24相连;时钟信号接系统的clock0。

四、实验结论与心得

更好的掌握了LPM_ROM与FPGA硬件资源的使用方法,了解了其用途。

将课堂所学到的知识在实验中实践,加深了同学们对知识的理解,让我们对它有了更加浓厚的兴趣。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2