ImageVerifierCode 换一换
格式:DOCX , 页数:88 ,大小:5.36MB ,
资源ID:4288422      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-4288422.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(江苏大学通信系统天线综合课程设计.docx)为本站会员(b****4)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

江苏大学通信系统天线综合课程设计.docx

1、江苏大学通信系统天线综合课程设计J I A N G S U U N I V E R S I T Y通信系统天线综合课程设计学院名称: 专业班级: 学生姓名: 学生学号: 1、课程设计目的 通过综合课程设计, 在学习EDA仿真软件HFSS使用方法的基础上,掌握常见通信系统天线的仿真设计方法。2、课程设计内容: 以“通信系统天线”课程课件“Ch4.1 偶极和单极天线”、“Ch4.2 常用振子天线和馈电技术”、“Ch5 宽带天线_c”、“Ch6 移动系统常用天线_c”为参考资料,分别仿真偶极子天线、UHF probe 振子天线、共面波导馈电领结天线和同轴馈电贴片天线,并对天线进行分析。三、设计步骤及

2、仿真结果天线设计实例1:偶极子天线1)设计步骤打开HFSS并保存一个新项目打开File选项(alt+F),单击Save as。输入项目名hfss_dipole。一.Step1 创建模型1、创建振子1(1)选择cylinder图标(2)输入参数:切换到参数设置区(在工作区的右下角),设置圆柱体的基坐标为(x=0 mm,y=0 mm,z=1.25mm); 按下Enter 键后输入半径和长度:dx =2.5mm, dy=0 mm, dz=73.75mm 。(3)设置振子1的名称和材料在对象列表中双击cylinder1,弹出如下属性窗口。设置名称:将Name改为“pole1”。设置材料:单击Mater

3、ial的Value,在如下对话框中输入“pec”并确定。2、创建振子2(1)选择cylinder图标(2)输入参数:切换到参数设置区,设置圆柱体的基坐标为(x=0 mm,y=0 mm,z=-1.25mm); 按下Enter 键后输入半径和长度:dx =2.5mm, dy=0 mm, dz=-73.75mm 。注意此时坐标的选取。(3)设置名称和材料设置名称为“pole2”,材料同为“pec”。设置完毕,如下图所示。3、创建空气腔(规定计算的区域)(1)选择box图标(2)输入参数:切换到参数设置区,设置长方体的基坐标为(x=-115 mm,y=-115 mm,z=-185mm); 按下Ente

4、r 键后输入三边的长度:dx =230 mm, dy=230 mm, dz=370 mm。(3)设置名称和材料双击对象列表中的“Box1”,设置名称为“air”,材料为“vacuum”,透明度Transparent为0.9。同时按下 ctrl 和D 键(ctrl+D),将视图调整一下。二.Step2 设定边界条件1、将air设置成辐射边界条件;在对象列表中选中air,单击鼠标右键,进入Assign Boundary选项,点击Raditation选项。并此边界命名为air。3.Step3设置激励1、绘制激励所在的矩形(1)在绘图区域中切换为yz平面。(2)绘制矩形选择rectangle图标切换到

5、参数设置区,设置长方形的基坐标为(x=0mm,y=-2.5mm,z=-1.25mm); 按下Enter 键后输入长度:x 方向0mm, y 方向5mm,z 方向2.5mm。3)更改名称把矩形名称更改为“port1”。 2、添加激励在对象列表中,右键单击“port1”,按下图所示添加激励“Lumped Port”。四.Step4 设定求解的参数1、选择一种求解方式(1)在 HFSS 菜单上,点击Solution Type 选项。(2)选择源激励方式,在Solution Type 对话框中选中Driven Mode 项。2、添加求解设置(1)在项目管理列表中,右键单击Analysis。(2)选择A

6、dd Solution Setup。(3)设置求解频率为“0.9GHz”。3、添加扫频设置(1)在项目管理列表中,右键单击Analysis-Setup1。(2)选择Add Sweep。(3)设置扫频类型为“Fast”,起止频率为“0.5GHz”-“1.5GHz”,取样点数101点。4、添加远场设置(1)在项目管理列表中,右键单击Radiation。(2)选择Insert Far Field Setup-Infinite Sphere。(3)设置Phi:0-360,Theta:-180 -180。2)仿真结果及分析五.Step5求解1、在项目管理列表中,右键选择Analysis-Setup1。2

7、、选择Analyze选项。可以看出已经收敛。六.Step6后处理1、S参数定义S11Infinite Sphere。命名为ff_2d设置Phi:0-90,Step Size:90 Theta:-180 -180Step Size:2。(34)添加求解设置在项目管理列表中,右键单击Analysis。选择Add Solution Setup。设置求解频率为“0.55GHz”。最大迭带次数 10,最大误差 0.02(35)添加扫频设置在项目管理列表中,右键单击Analysis-Setup1。选择Add Sweep。设置扫频类型为“Fast”,起止频率为“0.35GHz”-“0.75GHz”,取样点数

8、401点。Save Fields打钩。(36)打开File选项(alt+F),单击Save as。输入项目名hfss_uhf_probe。(37)将求解的条件设好后,我们来看看HFSS的前期工作是否完成,在HFSS菜单下,点击validation check。再次选中Project工作区的Analysis;点击鼠标右键,选中Analyze即可开始求解当求解过程结束后,在Message Manager窗口会有相应的提示。2)仿真结果及分析1、右击Results,Solution Data查看结果2、S参数图3、2D视图天线设计实例3:共面波导馈电领结天线1)设计步骤一.创建项目1、加入一个新的

9、HFSS 设计(1)在Project菜单,点击insert HFSS Design选项。2、选择一种求解方式 (1) 在 HFSS 菜单上,点击 Solution Type 选项.(2)选择源激励方式,在 Solution Type 对话框中选中 Driven Modal 项。3、设置设计使用的长度单位 (1)在 3D Modeler 菜单上,点击 Units 选项.(2)选择长度单位,在 Set Model Units 对话框中选中 mm 项。4、设置材料(1)在 3D Modeler的材料选框中选择Select(2)输入并选中Arlon CuClad 217(tm)二.构造模型1、建立物理

10、模型(1)画长方体。在 Draw 菜单中,点击 Box 选项;(2)输入参数。 按下Tab键切换到参数设置区(在工作区的右下角),设置长方体的基坐标(x=-17,y=-32,z=0);按下Enter 键后输入三边长度:x方向34, y方向64,z方向-2。注意:在设置时不要在绘图区中点击鼠标。(3)设置长方体属性 单击 Attribute 页,在 Attribute 页我们可以为长方体设置名称、材料、颜色、透明度等参数。这里,我们把这个长方体命名为 Sub1。 设置完毕后,同时按下 ctrl 和 D 键(ctrl+D),将视图调整一下。(4)利用Rectangle创建金属层在 Draw 菜单中

11、,点击 Rectangle 选项参数如下X=-17,Y=-32,Z=0dX=34,dY=64,dZ=0重命名为CuClad(5)选中CuClad单击鼠标右键,进入Assign Boundary选项,点击Finite Conductivity选项。名称改为Cu_bound,第一个选框之前打钩,材料输入cooper。(6)利用Rectangle创建馈线(缝隙)在 Draw 菜单中,点击 Rectangle 选项参数如下X=-0.5,Y=0.5,Z=0dX=13,dY=0.6,dZ=0重命名为Rect1(7)利用Line创建领结多边形在 Draw 菜单中,点击 Line 选项参数如下X=-0.5,Y

12、=0.5,Z=0X=-6.7,Y=21,Z=0X=6,Y=21,Z=0X=0.5,Y=1.1,Z=0重命名为Bowtie(8)利用Unite创建领结天线的一个臂选中Bowtie和Rect1 ,在 3D Modeler 菜单上,点击 Boolean 选项,再选择 Unite 项。(9)利用Mirror创建另一个臂选中Bowtie,在Edit菜单下选择Mirror输入参数X=0,Y=0,Z=0dX=0,dY=-1,dZ=0(10)利用Subtract创建领结天线的对应的缝隙选中Bowtie,Bowtie_1和CuClad,在 3D Modeler 菜单上,点击 Boolean 选项,再选择 Sub

13、tract 项。设置参数Blank Parts:CuClad Tool Parts:Bowtie,Bowtie_1在下面选框中打钩(11)自定义网格剖分操作选中Bowtie,Bowtie_1,在HFSS菜单下选择Mesh Operation Assign On Selection Length Based重命名Length1,下面选框打钩,最大长度3mm(12)修改默认材料为真空(13)画长方体。在 Draw 菜单中,点击 Box 选项;(14)输入参数。 按下Tab键切换到参数设置区(在工作区的右下角),设置长方体的基坐标(x=-17,y=-32,z=-9.5);按下Enter 键后输入三边

14、长度:x方向34, y方向64,z方向19。注意:在设置时不要在绘图区中点击鼠标。(15)设置长方体属性 单击 Attribute 页,在 Attribute 页我们可以为长方体设置名称、材料、颜色、透明度等参数。这里,我们把这个长方体命名为 AirBox。(16)为空气腔设置辐射边界;在操作历史树中选中AirBox,单击鼠标右键,进入Assign Boundary选项,点击Raditation选项。此时HFSS系统提示你为此边界命名,我们把此边界命名为Rad1。(17)为远场分析设置角度变化的范围在项目管理列表中,右键单击Radiation。选择Insert Far Field Setup-

15、Infinite Sphere。命名为ff_all设置Phi:-90-90,Step Size:10 Theta:0 -360Step Size:10。(18)利用Circle创建馈电平面参数如下X=12.5,Y=-0.5,Z=0dX=-1,dY=1,dZ=0重命名为P1(19)添加激励在对象列表中,右键单击“P1”,按下图所示添加激励“Lumped Port”。(20)添加求解设置在项目管理列表中,右键单击Analysis。选择Add Solution Setup。设置求解频率为“10GHz”。最大迭带次数 6,最大误差 0.01(21)添加扫频设置在项目管理列表中,右键单击Analysis

16、-Setup1。选择Add Sweep。设置扫频类型为“Fast”,起止频率为“8GHz”-“12GHz”,取样间隔0.02。Save Fields打钩。(22)打开File选项(alt+F),单击Save as。输入项目名hfss_cpwbotie。(23)将求解的条件设好后,我们来看看HFSS的前期工作是否完成,在HFSS菜单下,点击validation check。再次选中Project工作区的Analysis;点击鼠标右键,选中Analyze即可开始求解当求解过程结束后,在Message Manager窗口会有相应的提示。2)仿真结果及分析1、求解数据2、创建S11参数报告定义S11-

17、10dB的频率范围为天线的频带范围。如该天线的带宽为1011GHz。3、创建阻抗参数报告一般要求阻抗实部接近50欧姆,虚部接近0。4、创建远场方向图报告由方向图可以得到天线在空间辐射的分布情况,可以判断出天线的最大辐射方向。如上述天线的最大辐射方向为Theta=40方向。颜色越红,表示该方向辐射越大。天线设计实例4:同轴馈电贴片天线1)设计步骤一.创建项目1、加入一个新的 HFSS 设计(1)在Project菜单,点击insert HFSS Design选项。2、选择一种求解方式 (1) 在 HFSS 菜单上,点击 Solution Type 选项.(2)选择源激励方式,在 Solution

18、Type 对话框中选中 Driven Terminal 项。3、设置设计使用的长度单位 (1)在 3D Modeler 菜单上,点击 Units 选项.(2)选择长度单位,在 Set Model Units 对话框中选中 cm 项。4、设置材料(1)在 3D Modeler的材料选框中选择Select(2)输入并选中Rogers RT/duriod 5880(tm)二.构造模型1、建立物理模型(1)利用Box创建微带天线的介质基板。在 Draw 菜单中,点击 Box 选项;(2)输入参数。 按下Tab键切换到参数设置区(在工作区的右下角),设置长方体的基坐标(x=-5,y=-4.5,z=0);

19、按下Enter 键后输入三边长度:x方向10, y方向9,z方向0.32。注意:在设置时不要在绘图区中点击鼠标。(3)设置长方体属性 单击 Attribute 页,在 Attribute 页我们可以为长方体设置名称、材料、颜色、透明度等参数。这里,我们把这个长方体命名为 Sub1。 设置完毕后,同时按下 ctrl 和 D 键(ctrl+D),将视图调整一下。(4)利用Rectangle创建微带天线的地在 Draw 菜单中,点击 Rectangle 选项参数如下X=-5,Y=-4.5,Z=0dX=10,dY=9,dZ=0重命名为inf_GND(5)利用Circle创建与同轴外导体相同大小的圆在

20、Draw 菜单中,点击 Circle 选项参数如下X=-0.5,Y=0,Z=0dX=0.16,dY=0,dZ=0重命名为Cut_out(6)利用Subtract创建过孔同时选中待操作对象,进行相减操作(7)利用Rectangle创建微带天线的贴片在 Draw 菜单中,点击 Rectangle 选项参数如下X=-2,Y=-1.5,Z=0.32dX=4,dY=3,dZ=0重命名为Patch(8)修改默认材料为真空(9)利用Cylinder创建同轴外导体在 Draw 菜单中,点击 Cylinder 选项参数如下X=-0.5,Y=0,Z=0dX=0.16,dY=0,dZ=0dX=0,dY=0,dZ=-0.5重命名为Coax(10)修改默认材料为PEC(11)利用Cylinder创建同轴内导体在 Draw 菜单中,点击 Cylinder 选项参数如下X=-0.5,Y=0,Z=0dX=0.07,dY=0,dZ=0dX=0,dY=0,dZ=-0.5重命名为Coax_Pin(12)利用Cylinder创建馈电探针在 Draw 菜

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2