ImageVerifierCode 换一换
格式:DOC , 页数:22 ,大小:1.10MB ,
资源ID:668763      下载积分:15 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-668763.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字逻辑第四章课后答案Word格式.doc)为本站会员(聆听****声音)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

数字逻辑第四章课后答案Word格式.doc

1、0 1 00 1 11 0 01 0 11 1 01 1 14-3用与非门设计四变量多数表决电路。当输入变量A、B、C、D有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 先用卡诺图化简,然后变换成与非-与非表达式:A B C D0 0 0 00 0 0 1 0 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 1 1 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码,输出为4位循环码。首先根据所给问题列出真值表,然后用卡

2、诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 卡诺图化简:A B C DY1 Y2 Y3 Y4Y1的卡诺图 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 10 0 0 11 0 0 1Y3的卡诺图Y4的卡诺图Y2的卡诺图 化简后的逻辑函数:4-5 图4.48所示是一个由两台水泵向水池供水的系统。水池中安置了A、B、C三个水位传感器。当水池水位低于C点时,两台水泵同时供水。当水池水位低于B

3、点且高于C点时,由水泵M1单独供水。当水池水位低于A点且高于B点时,由水泵M2单独供水。当水池水位高于A点时,两台水泵都停止供水。试设计一个水泵控制电路。要求电路尽可能简单。图4.48 习题4-5的示意图设水位低于传感器时,水位传感器的输出为1,水位高于传感器时,水位传感器的输出为0。BC00 01 111 10首先根据所给问题列出真值表。其中有几种情况是不可能出现的,用约束项表示。M1 M2M1的卡诺图M2的卡诺图0 0 0 11 01 1 如果利用约束项化简 如果不利用约束项化简=1 (a) 用约束项化简 (b) 不用约束项化简习题4-5的逻辑图4-6 试用3线-8线译码器74HC138和

4、门电路实现如下多输出逻辑函数并画出逻辑图。先将逻辑函数变换成最小项之和的形式再变换成与74HC138一致的形式Y1Y2Y3Y4 令74HC138的A2= A,A1=B,A0= C,4-7 试用3线-8线译码器74HC138和逻辑门设计一组合电路。该电路输入X,输出Y均为3位二进制数。二者之间关系如下:当2X7时, Y=X2X1001时,判别电路输出为1,否则输出为0。从CC14585的一个端口输入数据D3D2D1D0,另一个端口输入1001。CC14585的扩展输入端IAB和IA=B必须接高电平,IAB必须接低电平。FD0D1D2D34-19 试根据表4.24的功能表,用逻辑门设计一个数据分配

5、器(Demultiplexer)。A1、A0为地址输入,D为数据输入,W3、W2、W1、W0为数据输出。数据分配器的功能正好与数据选择器相反,是按照所给的地址把一个输入数据从N个输出通路中选择一个输出,如图4.51所示。表4.24 习题4-19的功能表A1 A0 DW3 W2 W1 W0DeMUX图4.51 数据分配器表4.24的功能表可以简化为A1 A0 0 0 0 D0 0 D 00 D 0 0D 0 0 0逻辑函数4-20 试比较图4.52所示两个逻辑电路的功能。 &A2 Y 图4.52 习题4-20的电路根据图4.52写出逻辑函数式。 8选1 数据选择器的连接关系是:D6= D7= D

6、,D1=0,D2= 1,D5= D4= D3= D0= ,A2=A,A1= B,A0= C ,所以,比较FI和F2的,可看出,两个电路的逻辑函数相同,所以逻辑功能也相同。4-21 用VHDL设计一个代码转换电路,输入为4位循环码,输出为4位二进制代码。首先画出代码转换电路的系统框图,如G3 B3 代码转换电路 G0 B0G3 G2 G1 G0B3 B2 B1 B0 根据系统框图写VHDL程序的Entity,用行为描述的方法,根据真值表写VHDL程序的Architecture。VHDL程序如下:-Gray code to Binary codelibrary ieee;use ieee.std_logic_1164.all;entity gray2binary is port( grayin : in std_logic_vector(3 downto 0); binaryout : out std_logic_vector(3 downto 0);end gray2binary;architecture behave of gray2binary isbegin with grayin select binaryout = 0000 when , 000100100011,0100011001010111, 100011001001 w

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2