ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:682.55KB ,
资源ID:9573542      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bingdoc.com/d-9573542.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(FSK通原课设.docx)为本站会员(b****8)主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(发送邮件至service@bingdoc.com或直接QQ联系客服),我们立即给予删除!

FSK通原课设.docx

1、FSK通原课设目录第1章 绪论 11.1 意义 11.2 设计要求 1第2章 FSK设计的原理与方案 22.1 FSK 的调制 22.1.1 直接调频法 22.1.2 频率键控法 22.1.3 FSK 调制的建模方框图及电路符号 42.2 FSK 的解调 52.2.1 同步(相干)解调法 52.2.2 非相干解调法 52.2.3 匹配滤波器解调法 62.2.4 FSK解调的建模方框图及电路符号 7第3章 FSK设计的程序与仿真 83.1 FSK 基于 VHDL语言调制 83.1.1 FSK 调制程序 83.1.2 FSK调制VHDL程序仿真图 103.1.2.1 Quartus II仿真结果

2、103.1.2.2 Vivado仿真结果 113.1.3 FSK调制电路 123.2 FSK 基于 VHDL语言解调 123.2.1 FSK 解调程序 123.2.2 FSK解调VHDL程序仿真图 143.2.2.1 Quartus II仿真结果 143.2.2.2 Vivado仿真结果 153.2.3 FSK解调电路 153.3 Vivado软件仿真程序 16第4章 课程设计心得 18参考文献 19第1章 绪论1.1意义数字调制技术是现代通信的一个重要内容,在数字通信系统中。由于数字信号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制 (Digital M

3、odulation) 。数字调制同时也是数字信号频分复用的基本技术。数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字型的正弦波调制。因而数字调制具有自身的特点。一般说来数字调制技术分为两种类型:一是把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号的离散取值去键控载波,从而实现数字调制。后一种方法通常称为键控法。例如可以对载波的振幅、频率及相位进行键控,便可获得振幅键控 (ASK)、移频键控(FSK)、相移键控 (PSK)等调制方式。移频键控 (FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛

4、采用。本设计系统采用 VHDL 语言进行设计,具有良好的可移植性及产品升级的系统性。1.2 设计要求1.了解了 FSK 信号的基本概念后,利用 Quartus II 软件中的 VHDL 语言对2FSK 频移键控系统就行调制、解调的程序设计。2.程序设计运行成功后,在利用 VHDL 语言对 FSK 频移键控系统进行调制、解调的波形仿真。3.最后通过 VHDL 语言制作出 FSK 频移键控系统调制、解调的电路图。第2章 FSK设计的原理与方案2.1 FSK 的调制频移键控即 FSK(FrequencyShift Keying)数字信号对载波频率调制,主要通过数字基带信号控制载波信号的频率来来传递数

5、字信息。在二进制情况下,“1”对应于载波频率,“0”对应载波频率, 但是它们的振幅和初始相位不变化。 FSK信号产生的两种方法。2.1.1 直接调频法用二进制基带矩形脉冲信号去调制一个调频器, 使其输出两个不同频率的码元。一般采用的控制方法是:当基带信号为正时(相当于“1”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率降低(设为f2);从而实现了调频。这种方法产生的调频信号是相位连续的,虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能做得太快,但

6、是其优点是由调频器所产生的FSK信号在相邻码元之间的相位是连续的。2.1.2 频率键控法频率键控法也称频率选择法。 它有两个独立的振荡器, 数字基带信号控制转换开关,选择不同频率的高频振荡信号实现 FSK 调制。图2.1 频率健控法原理框图键控法产生的 FSK 信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是 uFSK(t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。下图是利用两个独立分频器,以频率键控法来实现 FSK 调制的原理电路图。图中,与非门 3

7、和 4 起到了转换开关的作用。当数字基带信号为“1”时,与非门4 打开, f1输出,当数字基带信号为“0”时,与非门 3 打开, f2输出,从而实现了 FSK 调制。 图 2.2 利用可控分频器实现 FSK 调制 频率键控法也常常利用数字基带信号去控制可变分频器的分频比来改变输出载波频率,从而实现 FSK 调制。下图是一个 11/13 可控分频器原理图。当数字基带信号为“l”时,第四级双稳态电路输出的反馈脉冲被加到第一级和第二级双稳态电路上,此时分频比为 13;当基带信号为“0”时,第四级双稳态电路输出的反馈脉冲被加到第一级和第三级双稳态电路上,分频比变为 11。由于分频比的改变,使输出信号频

8、率发生变化,从而实现了 FSK 调制。采用可变分频器产生的 FSK 信号相位通常是连续的,因此在基带信息变化时,FSK 信号会出现过渡频率。为减小过渡时间,可变分频器应工作于较高的频率,并在可变分频器后再插入固定分频器,使输出频率满足 FSK 信号要求的频率。图2.3 利用可控分频器实现 FSK 调制2.1.3 FSK 调制的建模方框图及电路符号 FSK 调制方框图和调制电路的 VHDL 建模符号如下图所示。FSK 调制的核心部分包括分频器、二选一选通开关等。图中的两个分频器分别产生两路数字载波信号;二选一选通开关的作用是:以基带信号作为控制信号,当基带信号为“0”,选通载波 f1;当基带信号

9、为“l”时,选通载波 f2。从选通开关输出的信号就是数字 FSK 信号。图中没有包含模拟电路部分,调制信号为数字信号。 图2.4 FSK 调制方框图 图2.5 FSK 调制电路的 VHDL 建模符号 2.2 FSK 的解调2.2.1 同步(相干)解调法在同步解调器中,有上、下两个支路,输入的 FSK 信号经过1 和 2 两个带通滤波器后变成了上、 下两路 ASK 信号,之后其解调原理与 ASK 类似,但判决需对上、下两支路比较来进行,原理框图如图2.5所示。 假设上支路低通滤波器输出为X1,下支路低通滤波器输出为X2 ,则判决准则是:图2.6 相干解调法原理框图接收信号经过并联的两路带通滤波器

10、进行滤波与本地相干载波相乘和包络检波后,进行抽样判决, 判决的准则是比较两路信号包络的大小。如果上支路的信号包络较大,则判决为 “1” ;反之,判决为收到为 “0”。2.2.2 非相干解调法输入的 FSK 中频信号分别经过带通滤波器,包络检波,其中包络检波的输出在 t=kTb 时抽样(其中 k 为整数),并且将这些值进行比较。根据包络检波器输出的大小,比较器判决数据比特是 1 还是 0。原理框图如图2.7所示。图2.7 非相干解调法原理框图2.2.3 匹配滤波器解调法匹配滤波器定义:保证判决时刻输出信噪比最大的线性滤波器。 设计思想:针对接收信号,确定滤波器的H(),在噪声背景下,尽可能使还原

11、信号与发送信号一致。 图2.8 匹配滤波器原理框图2.2.4 FSK解调的建模方框图及电路符号FSK 解调方框图和 FSK 解调电路的 VHDL 建模符号如下图所示,该模型与ASK 的解调模型类似,其核心部分由分频器、寄存器、计数器和判决器组成。 图2.9 FSK 解调方框图图中分频器的分频系数取值对应图2.3中的分频器 1 和分频器 2 中较小的分频系数值,也就是说 FSK 解调器的分频器输出为较高的那个载波信号。由于 f1和 f2的周期不同,若设 f1=2 f2,且基带信号电平“1”,对应 f1;基带信号电平“0” 对应载波 f2,则图中计数器以 f1为时钟信号,上升沿计数,基带信号“1”

12、码元对应的计数个数为1/ f1,基带信号“0”码元对应的计数个数为1/ f2。计数器根据两种不同的计数情况,对应输出“0”和“1”两种电平。判决器以 f1为时钟信号,对计数器输出信号进行抽样判决,并输出基带信号。图中没有包含模拟电路部分,调制信号为数字信号形式。 图2.10 FSK 解调电路的 VHDL 建模符号 第3章 FSK设计的程序与仿真3.1 FSK 基于 VHDL语言调制3.1.1 FSK 调制程序-文件名:fsktz-功能:基于VHDL硬件描述语言,对基带信号进行FSK调制。library ieee;use ieee.std_logic_arith.all;use ieee.std

13、_logic_1164.all;use ieee.std_logic_unsigned.all;entity fsktz isport( clk :in std_logic; -系统时钟 start :in std_logic; -开始调制信号 x :in std_logic; -基带信号 y :out std_logic; -调制信号 fout1,fout2 : out STD_LOGIC; qout1:out std_logic_vector(3 downto 0); qout2:out std_logic_vector(1 downto 0);end fsktz;architecture

14、 behav of fsktz issignal q1:integer range 0 to 11; -载波信号f1的分频计数器signal q2:integer range 0 to 3; -载波信号f2的分频计数器signal f1,f2:std_logic; -载波信号f1,f2beginprocess(clk) -此进程通过对系统时钟clk的分频,得到载波f1beginif clkevent and clk=1 then if start=0 then q1=0; elsif q1=5 then f1=1;q1=q1+1; -改变q1后面的数字可以改变,载波f1的占空比 elsif q

15、1=11 then f1=0;q1=0; -改变q1后面的数字可以改变,载波f1的频率 else f1=0;q1=q1+1; end if;end if;end process;process(clk) -此进程通过对系统时钟clk的分频,得到载波f2beginif clkevent and clk=1 then if start=0 then q2=0; elsif q2=0 then f2=1;q2=q2+1; -改变q2后面的数字可以改变,载波f2的占空比 elsif q2=1 then f2=0;q2=0; -改变q2后面的数字可以改变,载波f2的频率 else f2=0;q2=q2+

16、1; end if;end if;end process;process(clk,x) -此进程完成对基带信号的FSK调制beginif clkevent and clk=1 then if x=0 then y=f1; -当输入的基带信号x=0时,输出的调制信号y为f1 else y=f2; -当输入的基带信号x=1时,输出的调制信号y为f2 end if;end if;end process;fout1=F1;fout2=F2;qout1=conv_std_logic_vector(q1,4);qout2=conv_std_logic_vector(q2,2);end behav;3.1.

17、2 FSK调制VHDL程序仿真图工程编译通过后, 必须对其功能和时序性能进行仿真测试, 以验证设计结果是否满足设计要求。整个时序仿真测试流程一般有建立波形文件、 输入信号节点、设置波形参数、 编辑输入信号、 波形文件存盘、 运行仿真器和分析方针波形等步骤。假设需要调制的二进制序列为 101100100100,且码元宽度为 480ns。3.1.2.1 Quartus II仿真结果图3.1 FSK调制VHDL程序仿真全图图3.2 FSK调制VHDL程序仿真局部放大图注:a. 载波f1、f2分别是通过对clk的12分频和2分频得到的。b.基带码长为载波f1的2个周期,为载波f2的6个周期。c.输出的

18、调制信号y在时间上滞后于载波信号一个clk,滞后于系统时钟2个clk。3.1.2.2 Vivado仿真结果图3.3 FSK调制VHDL程序仿真全图图3.4 FSK调制VHDL程序仿真局部放大图3.1.3 FSK调制电路图3.5 FSK调制电路图3.2 FSK 基于 VHDL语言解调3.2.1 FSK 解调程序-文件名:fskjt-功能:基于VHDL硬件描述语言,对FSK调制信号进行解调library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;enti

19、ty fskjt isport(clk :in std_logic; -系统时钟 start :in std_logic; -同步信号 x :in std_logic; -调制信号 y :out std_logic); -基带信号end fskjt;architecture behav of fskjt issignal q:integer range 0 to 11; -分频计数器signal xx:std_logic; -寄存器 signal m:integer range 0 to 5; -计数器beginprocess(clk) -对系统时钟进行q分频beginif clkevent

20、and clk=1 then xx=x; -在clk信上升沿时,x信号对中间信号xx赋值 if start=0 then q=0; -if语句完成Q的循环计数 elsif q=11 then q=0; else q=q+1; end if;end if;end process;process(xx,q) -此进程完成FSK解调begin if q=11 then m=0; -m计数器清零elsif q=10 then if m=2 then y=1; -if语句通过对m大小,来判决y输出的电平 elsif m=0 then y=0; end if;elsif xxevent and xx=1t

21、hen mclk_1,start=start_1,x=x_1,y=y_tz,fout1=fout_1,fout2=fout_2,qout1=qout_1,qout2=qout_2);uut:entity work.fskjtport map(clk=clk_1,start=start_2,x=y_tz,y=y_jt); start_1=0,1after 20*T;-开始调制信号 start_2=0,1after 30*T;-开始解调信号process beginclk_1=0;wait for T;clk_1=1;wait for T;end process; process begin x_

22、1=0;wait for 96*T; x_1=1;wait for 96*T;-x的持续时间需足够长,24为12分频信号的一个周期 end process;end Behavioral;第4章 课程设计心得因为理论课上学过FSK调制解调的内容,所以我做这个课设的时候对于原理部分比较清楚,主要在于如何编写程序从而正确得到的仿真结果。最开始安装了vivado软件,仿真部分程序总是出错,通过查资料和询问同学,得以解决;又安装了Quartus II,通过查阅资料,熟悉软件的使用还算容易的得到了正确仿真波形。通过这次课程设计,加强了我思考和解决问题的能力。因为对软件操作的不熟悉,对代码的编写不熟练,使得

23、我在编写程序的时候困难重重,通过一点点的找错误,也使我学到很多平常所学不到的知识,也使得我对每一句语句的意思和功能有了更深刻更清晰的了解。同时将实践与理论相结合,也是对课本知识的巩固和加强。由于课本上的知识太多,平时的学习并不能很好的理解和运用所学知识,而且考试内容有限,所以在这次课程设计过程中,我发现了自己的不足之处,对所学过的知识理解得不够深刻,掌握得不够牢固。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正提高自己的实际动手能力和独立思考的能力。对我而言,知识上的收获重要,精神上的丰收更加可喜

24、。挫折是一份财富,经历是一份拥有。本次通过 FSK 系统调制与解调建模设计,以 Quartus II 13.0、Vivado软件为平台,基于 VHDL 语言,达到了预期的仿真结果。通过本次设计,我了解了频移键控数字通信系统的用途及工作原理,熟悉了 FSK 基于 VHDL 语言的设计步骤,熟悉了相关软件的操作,锻炼了设计实践和语言组织能力, 培养了自己独立设计能力。 参考文献1 潘松,黄继业.EDA技术实用教程.北京:科学出版社,20122 王虹.通信系统原理.北京:国防工业出版社,20143 刘昌华.数字逻辑EDA设计与实践.北京:国防工业出版社,20134 王小军.VHDL简明教程.北京:清华大学出版社,20125 张凤言.大规模逻辑器件与数字系统设计.北京:北京航空航天大学出版社,20136 江国强.EDA技术与应用.北京:电子工业出版社,2010 7 John G. Proakis.Digital Communications. 北京:电子工业出版社,2011

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2