FSK通原课设.docx

上传人:b****8 文档编号:9573542 上传时间:2023-05-20 格式:DOCX 页数:19 大小:682.55KB
下载 相关 举报
FSK通原课设.docx_第1页
第1页 / 共19页
FSK通原课设.docx_第2页
第2页 / 共19页
FSK通原课设.docx_第3页
第3页 / 共19页
FSK通原课设.docx_第4页
第4页 / 共19页
FSK通原课设.docx_第5页
第5页 / 共19页
FSK通原课设.docx_第6页
第6页 / 共19页
FSK通原课设.docx_第7页
第7页 / 共19页
FSK通原课设.docx_第8页
第8页 / 共19页
FSK通原课设.docx_第9页
第9页 / 共19页
FSK通原课设.docx_第10页
第10页 / 共19页
FSK通原课设.docx_第11页
第11页 / 共19页
FSK通原课设.docx_第12页
第12页 / 共19页
FSK通原课设.docx_第13页
第13页 / 共19页
FSK通原课设.docx_第14页
第14页 / 共19页
FSK通原课设.docx_第15页
第15页 / 共19页
FSK通原课设.docx_第16页
第16页 / 共19页
FSK通原课设.docx_第17页
第17页 / 共19页
FSK通原课设.docx_第18页
第18页 / 共19页
FSK通原课设.docx_第19页
第19页 / 共19页
亲,该文档总共19页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

FSK通原课设.docx

《FSK通原课设.docx》由会员分享,可在线阅读,更多相关《FSK通原课设.docx(19页珍藏版)》请在冰点文库上搜索。

FSK通原课设.docx

FSK通原课设

目录

第1章绪论1

1.1意义1

1.2设计要求1

第2章FSK设计的原理与方案2

2.1FSK的调制2

2.1.1直接调频法2

2.1.2频率键控法2

2.1.3FSK调制的建模方框图及电路符号4

2.2FSK的解调5

2.2.1同步(相干)解调法5

2.2.2非相干解调法5

2.2.3匹配滤波器解调法6

2.2.4FSK解调的建模方框图及电路符号7

第3章FSK设计的程序与仿真8

3.1FSK基于VHDL语言调制8

3.1.1FSK调制程序8

3.1.2FSK调制VHDL程序仿真图10

3.1.2.1QuartusII仿真结果10

3.1.2.2Vivado仿真结果11

3.1.3FSK调制电路12

3.2FSK基于VHDL语言解调12

3.2.1FSK解调程序12

3.2.2FSK解调VHDL程序仿真图14

3.2.2.1QuartusII仿真结果14

3.2.2.2Vivado仿真结果15

3.2.3FSK解调电路15

3.3Vivado软件仿真程序16

第4章课程设计心得18

参考文献19

 

第1章绪论

1.1意义

数字调制技术是现代通信的一个重要内容,在数字通信系统中。

由于数字信号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制(DigitalModulation)。

数字调制同时也是数字信号频分复用的基本技术。

数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字型的正弦波调制。

因而数字调制具有自身的特点。

一般说来数字调制技术分为两种类型:

一是把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号的离散取值去键控载波,从而实现数字调制。

后一种方法通常称为键控法。

例如可以对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控(FSK)、相移键控(PSK)等调制方式。

移频键控(FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛采用。

本设计系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性。

1.2设计要求

1.了解了FSK信号的基本概念后,利用QuartusII软件中的VHDL语言对2FSK频移键控系统就行调制、解调的程序设计。

2.程序设计运行成功后,在利用VHDL语言对FSK频移键控系统进行调制、解调的波形仿真。

3.最后通过VHDL语言制作出FSK频移键控系统调制、解调的电路图。

 

第2章FSK设计的原理与方案

2.1FSK的调制

频移键控即FSK(Frequency-ShiftKeying)数字信号对载波频率调制,主要通过数字基带信号控制载波信号的频率来来传递数字信息。

在二进制情况下,“1”对应于载波频率,“0”对应载波频率,但是它们的振幅和初始相位不变化。

FSK信号产生的两种方法。

2.1.1直接调频法

用二进制基带矩形脉冲信号去调制一个调频器,使其输出两个不同频率的码元。

一般采用的控制方法是:

当基带信号为正时(相当于“1”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率降低(设为f2);从而实现了调频。

这种方法产生的调频信号是相位连续的,虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能做得太快,但是其优点是由调频器所产生的FSK信号在相邻码元之间的相位是连续的。

2.1.2频率键控法

频率键控法也称频率选择法。

它有两个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现FSK调制。

图2.1频率健控法原理框图

键控法产生的FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。

频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是uFSK(t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。

下图是利用两个独立分频器,以频率键控法来实现FSK调制的原理电路图。

图中,与非门3和4起到了转换开关的作用。

当数字基带信号为“1”时,与非门4打开,f1输出,当数字基带信号为“0”时,与非门3打开,f2输出,从而实现了FSK调制。

图2.2利用可控分频器实现FSK调制

频率键控法也常常利用数字基带信号去控制可变分频器的分频比来改变输出载波频率,从而实现FSK调制。

下图是一个11/13可控分频器原理图。

当数字基带信号为“l”时,第四级双稳态电路输出的反馈脉冲被加到第一级和第二级双稳态电路上,此时分频比为13;当基带信号为“0”时,第四级双稳态电路输出的反馈脉冲被加到第一级和第三级双稳态电路上,分频比变为11。

由于分频比的改变,使输出信号频率发生变化,从而实现了FSK调制。

采用可变分频器产生的FSK信号相位通常是连续的,因此在基带信息变化时,FSK信号会出现过渡频率。

为减小过渡时间,可变分频器应工作于较高的频率,并在可变分频器后再插入固定分频器,使输出频率满足FSK信号要求的频率。

 

图2.3利用可控分频器实现FSK调制

2.1.3FSK调制的建模方框图及电路符号

FSK调制方框图和调制电路的VHDL建模符号如下图所示。

FSK调制的核心部分包括分频器、二选一选通开关等。

图中的两个分频器分别产生两路数字载波信号;二选一选通开关的作用是:

以基带信号作为控制信号,当基带信号为“0”,选通载波f1;当基带信号为“l”时,选通载波f2。

从选通开关输出的信号就是数字FSK信号。

图中没有包含模拟电路部分,调制信号为数字信号。

图2.4FSK调制方框图

图2.5FSK调制电路的VHDL建模符号

2.2FSK的解调

2.2.1同步(相干)解调法

在同步解调器中,有上、下两个支路,输入的FSK信号经过1和2两个带通滤波器后变成了上、下两路ASK信号,之后其解调原理与ASK类似,但判决需对上、下两支路比较来进行,原理框图如图2.5所示。

假设上支路低通滤波器输出为X1,下支路低通滤波器输出为X2,则判决准则是:

图2.6相干解调法原理框图

接收信号经过并联的两路带通滤波器进行滤波与本地相干载波相乘和包络检波后,进行抽样判决,判决的准则是比较两路信号包络的大小。

如果上支路的信号包络较大,则判决为“1”;反之,判决为收到为“0”。

2.2.2非相干解调法

输入的FSK中频信号分别经过带通滤波器,包络检波,其中包络检波的输出在t=kTb时抽样(其中k为整数),并且将这些值进行比较。

根据包络检波器输出的大小,

比较器判决数据比特是1还是0。

原理框图如图2.7所示。

图2.7非相干解调法原理框图

2.2.3匹配滤波器解调法

匹配滤波器定义:

保证判决时刻输出信噪比最大的线性滤波器。

设计思想:

针对接收信号,确定滤波器的H(ω),在噪声背景下,尽可能使还原信号与发送信号一致。

图2.8匹配滤波器原理框图

2.2.4FSK解调的建模方框图及电路符号

FSK解调方框图和FSK解调电路的VHDL建模符号如下图所示,该模型与ASK的解调模型类似,其核心部分由分频器、寄存器、计数器和判决器组成。

图2.9FSK解调方框图

图中分频器的分频系数取值对应图2.3中的分频器1和分频器2中较小的分频系数值,也就是说FSK解调器的分频器输出为较高的那个载波信号。

由于f1和f2的周期不同,若设f1=2f2,且基带信号电平“1”,对应f1;基带信号电平“0”对应载波f2,则图中计数器以f1为时钟信号,上升沿计数,基带信号“1”码元对应的计数个数为1/f1,基带信号“0”码元对应的计数个数为1/f2。

计数器根据两种不同的计数情况,对应输出“0”和“1”两种电平。

判决器以f1为时钟信号,对计数器输出信号进行抽样判决,并输出基带信号。

图中没有包含模拟电路部分,调制信号为数字信号形式。

图2.10FSK解调电路的VHDL建模符号

 

第3章FSK设计的程序与仿真

3.1FSK基于VHDL语言调制

3.1.1FSK调制程序

--文件名:

fsktz

--功能:

基于VHDL硬件描述语言,对基带信号进行FSK调制。

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityfsktzis

port(clk:

instd_logic;--系统时钟

start:

instd_logic;--开始调制信号

x:

instd_logic;--基带信号

y:

outstd_logic;--调制信号

fout1,fout2:

outSTD_LOGIC;

qout1:

outstd_logic_vector(3downto0);

qout2:

outstd_logic_vector(1downto0));

endfsktz;

architecturebehavoffsktzis

signalq1:

integerrange0to11;--载波信号f1的分频计数器

signalq2:

integerrange0to3;--载波信号f2的分频计数器

signalf1,f2:

std_logic;--载波信号f1,f2

begin

process(clk)--此进程通过对系统时钟clk的分频,得到载波f1

begin

ifclk'eventandclk='1'then

ifstart='0'thenq1<=0;

elsifq1<=5thenf1<='1';q1<=q1+1;--改变q1后面的数字可以改变,载波f1的占空比

elsifq1=11thenf1<='0';q1<=0;--改变q1后面的数字可以改变,载波f1的频率

elsef1<='0';q1<=q1+1;

endif;

endif;

endprocess;

process(clk)--此进程通过对系统时钟clk的分频,得到载波f2

begin

ifclk'eventandclk='1'then

ifstart='0'thenq2<=0;

elsifq2<=0thenf2<='1';q2<=q2+1;--改变q2后面的数字可以改变,载波f2的占空比

elsifq2=1thenf2<='0';q2<=0;--改变q2后面的数字可以改变,载波f2的频率

elsef2<='0';q2<=q2+1;

endif;

endif;

endprocess;

process(clk,x)--此进程完成对基带信号的FSK调制

begin

ifclk'eventandclk='1'then

ifx='0'theny<=f1;--当输入的基带信号x=‘0’时,输出的调制信号y为f1

elsey<=f2;--当输入的基带信号x=‘1’时,输出的调制信号y为f2

endif;

endif;

endprocess;

fout1<=F1;fout2<=F2;

qout1<=conv_std_logic_vector(q1,4);

qout2<=conv_std_logic_vector(q2,2);

endbehav;

3.1.2FSK调制VHDL程序仿真图

工程编译通过后,必须对其功能和时序性能进行仿真测试,以验证设计结果是否满足设计要求。

整个时序仿真测试流程一般有建立波形文件、输入信号节点、设置波形参数、编辑输入信号、波形文件存盘、运行仿真器和分析方针波形等步骤。

假设需要调制的二进制序列为[101100100100],且码元宽度为480ns。

3.1.2.1QuartusII仿真结果

图3.1FSK调制VHDL程序仿真全图

图3.2FSK调制VHDL程序仿真局部放大图

注:

a.载波f1、f2分别是通过对clk的12分频和2分频得到的。

b.基带码长为载波f1的2个周期,为载波f2的6个周期。

c.输出的调制信号y在时间上滞后于载波信号一个clk,滞后于系统时钟2个clk。

3.1.2.2Vivado仿真结果

图3.3FSK调制VHDL程序仿真全图

图3.4FSK调制VHDL程序仿真局部放大图

3.1.3FSK调制电路

图3.5FSK调制电路图

3.2FSK基于VHDL语言解调

3.2.1FSK解调程序

--文件名:

fskjt

--功能:

基于VHDL硬件描述语言,对FSK调制信号进行解调

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityfskjtis

port(clk:

instd_logic;--系统时钟

start:

instd_logic;--同步信号

x:

instd_logic;--调制信号

y:

outstd_logic);--基带信号

endfskjt;

architecturebehavoffskjtis

signalq:

integerrange0to11;--分频计数器

signalxx:

std_logic;--寄存器

signalm:

integerrange0to5;--计数器

begin

process(clk)--对系统时钟进行q分频

begin

ifclk'eventandclk='1'thenxx<=x;--在clk信上升沿时,x信号对中间信号xx赋值

ifstart='0'thenq<=0;--if语句完成Q的循环计数

elsifq=11thenq<=0;

elseq<=q+1;

endif;

endif;

endprocess;

process(xx,q)--此进程完成FSK解调

begin

ifq=11thenm<=0;--m计数器清零

elsifq=10then

ifm=2theny<='1';--if语句通过对m大小,来判决y输出的电平

elsifm=0theny<='0';

endif;

elsifxx'eventandxx='1'thenm<=m+1;--计xx信号的脉冲个数

endif;

endprocess;

endbehav;

3.2.2FSK解调VHDL程序仿真图

3.2.2.1QuartusII仿真结果

图3.6FSK解调VHDL程序仿真全图

图3.7FSK解调VHDL程序仿真局部放大图

注:

a.在q=11时,m清零。

b.在q=10时,根据m的大小,进行对输出基带信号y的电平的判决。

c.在q为其它值时,计数器m计下xx(寄存x信号)的脉冲数。

d.输出信号y滞后输入信号x10个clk。

3.2.2.2Vivado仿真结果

图3.8FSK解调VHDL程序仿真全图

图3.9FSK解调VHDL程序仿真局部放大图

3.2.3FSK解调电路

图3.10FSK解调电路图

3.3Vivado软件仿真程序

libraryIEEE;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitytestis

--Port();

endtest;

architectureBehavioraloftestis

--调制程序接口

signalclk_1,start_1,fout_1,fout_2,x_1,y_tz:

std_logic;

signalqout_1:

std_logic_vector(3downto0);

signalqout_2:

std_logic_vector(1downto0);

--解调程序接口

signalstart_2:

std_logic;

signaly_jt:

std_logic;

constantT:

time:

=1ns;

begin

ut:

entitywork.fsktz

portmap(clk=>clk_1,start=>start_1,x=>x_1,y=>y_tz,fout1=>fout_1,fout2=>fout_2,qout1=>qout_1,qout2=>qout_2);

uut:

entitywork.fskjt

portmap(clk=>clk_1,start=>start_2,x=>y_tz,y=>y_jt);

start_1<='0','1'after20*T;--开始调制信号

start_2<='0','1'after30*T;--开始解调信号

processbegin

clk_1<='0';waitforT;

clk_1<='1';waitforT;

endprocess;

processbegin

x_1<='0';waitfor96*T;

x_1<='1';waitfor96*T;--x的持续时间需足够长,24为12分频信号的一个周期

endprocess;

endBehavioral;

 

第4章课程设计心得

因为理论课上学过FSK调制解调的内容,所以我做这个课设的时候对于原理部分比较清楚,主要在于如何编写程序从而正确得到的仿真结果。

最开始安装了vivado软件,仿真部分程序总是出错,通过查资料和询问同学,得以解决;又安装了QuartusII,通过查阅资料,熟悉软件的使用还算容易的得到了正确仿真波形。

通过这次课程设计,加强了我思考和解决问题的能力。

因为对软件操作的不熟悉,对代码的编写不熟练,使得我在编写程序的时候困难重重,通过一点点的找错误,也使我学到很多平常所学不到的知识,也使得我对每一句语句的意思和功能有了更深刻更清晰的了解。

同时将实践与理论相结合,也是对课本知识的巩固和加强。

由于课本上的知识太多,平时的学习并不能很好的理解和运用所学知识,而且考试内容有限,所以在这次课程设计过程中,我发现了自己的不足之处,对所学过的知识理解得不够深刻,掌握得不够牢固。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正提高自己的实际动手能力和独立思考的能力。

对我而言,知识上的收获重要,精神上的丰收更加可喜。

挫折是一份财富,经历是一份拥有。

本次通过FSK系统调制与解调建模设计,以QuartusII13.0、Vivado软件为平台,基于VHDL语言,达到了预期的仿真结果。

通过本次设计,我了解了频移键控数字通信系统的用途及工作原理,熟悉了FSK基于VHDL语言的设计步骤,熟悉了相关软件的操作,锻炼了设计实践和语言组织能力,培养了自己独立设计能力。

 

参考文献

[1]潘松,黄继业.EDA技术实用教程.北京:

科学出版社,2012

[2]王虹.通信系统原理.北京:

国防工业出版社,2014

[3]刘昌华.数字逻辑EDA设计与实践.北京:

国防工业出版社,2013

[4]王小军.VHDL简明教程.北京:

清华大学出版社,2012

[5]张凤言.大规模逻辑器件与数字系统设计.北京:

北京航空航天大学出版社,2013

[6]江国强.EDA技术与应用.北京:

电子工业出版社,2010

[7]JohnG.Proakis.DigitalCommunications.北京:

电子工业出版社,2011

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 表格模板 > 合同协议

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2