DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx

上传人:b****2 文档编号:11708088 上传时间:2023-06-02 格式:DOCX 页数:88 大小:919.75KB
下载 相关 举报
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第1页
第1页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第2页
第2页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第3页
第3页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第4页
第4页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第5页
第5页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第6页
第6页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第7页
第7页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第8页
第8页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第9页
第9页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第10页
第10页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第11页
第11页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第12页
第12页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第13页
第13页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第14页
第14页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第15页
第15页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第16页
第16页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第17页
第17页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第18页
第18页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第19页
第19页 / 共88页
DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx_第20页
第20页 / 共88页
亲,该文档总共88页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx

《DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx》由会员分享,可在线阅读,更多相关《DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx(88页珍藏版)》请在冰点文库上搜索。

DSPBuilder的AM2ASK2FSK信号调制的实现论文.docx

DSPBuilder的AM2ASK2FSK信号调制的实现论文

EDA技术与VerilogHDL

设计报告

题目:

DSPBuilder的AM2ASK

2FSK信号调制的实现

 

题目类型:

理论研究实验研究工程设计工程技术研究软件开发

 

摘要

(内容采用小四号宋体)AM幅度调制信号发生器的设计是基于双DDS信号发生器以及乘法器混频的设计。

本设计采用从Quatuse到MATLAB—Simulink的交互软件——DSP_Building设计。

所用的主要器件有:

Constant、Input、Output、BusConcatenation、AltBus、Adder、Delay、LUT、Multiplexer、Product、BusConversion、SignalCompiler、Scope(仿真器件)。

其中调制信号由DDS信号源与调制度m相乘得到,并且通过信号上移保证调制波的单号性(全“+”),载波同样由另一路DDS信号发生器产生,并且其信号的频率为调制波信号的数倍(主要由DDS中的递增量决定)。

将二者用乘法器调制为所需的AM波。

对比2005年的电赛本设计,本设计扩展了2ASK和2FSK调制并且增加了原DDS输出,并且在设计中试用了不同的数据传输处理模式。

最终完成Matlab和Quatuse的双仿真并下载仿真,经验证设计指标满足要求。

 

关键词:

AM调幅波、AM调制波、载波、混频、DDS信号发生器、多路选择器

 

Abstract

AMamplitudemodulationsignalgeneratordesignisbasedondualDDSsignalgeneratorandthemultipliermixerdesign.ThisdesignusestheMATLAB-SimulinkfromQuatuseinteractivesoftware-DSP_Buildingdesign.Themaincomponentsusedare:

Constant,Input,Output,BusConcatenation,AltBus,Adder,Delay,LUT,Multiplexer,Product,BusConversion,SignalCompiler,Scope(emulationdevice).WhichmodulatedsignalfromtheDDSsignalsourceandthemodulationmmultiplied,andmodulatedbythesignalwaveonthemovetoensurethatasinglenumberof(full,""),thecarrierthesamewaybyanotherDDSsignalgenerator,andthefrequencyofthesignalmodulatedwavesignalseveraltimes(mainlydeterminedbytheamountofincreaseinDDS).MultiplierwillbeusedbothforthedesiredAMmodulationwave.Comparison,in2005thepowergameofthedesign,thedesignextends2ASKand2FSKmodulationandincreasedrawDDSoutput,andthedesignofthetrialinadifferentdataprocessingmode.Thefinalcompletionofthedouble-simulateMatlabandQuatuseanddownloadsimulation,provendesignspecificationstomeettherequirements.

 

Keywords:

AMamplitudemodulationwave;AMmodulationwave;carrier;mixing;DDSsignalgenerator;multiplexer

 

目录

引言4

1实验原理5

2DDS设计5

2.1调制度m的设置7

2.2AM调制的整体设计7

2.32ASK、2FSK调制的整体设计10

2.4信号的功率谱及带宽10

2.4.12FSK信号的产生11

2.4.22FSK信号的调制方法11

3设计编译下载14

3.1singnalcompiler15

3.2QUARTUS2的编译、分配管脚、仿真、和设计15

4设计注意事项17

5结论19

谢辞20

参考文献21

附录21

附录1程序VHDL描述21

 

引言

本次设计是基于Matlab的simulink功能和DSPBuilder来设计FPGA程序、仿真以及下载仿真。

基于DSPBuilder的设计再在Atera的设计平台QuartusⅡ上仿真和下载。

  Altera可编程逻辑器件(PLD)中的DSP系统设计需要高级算法和HDL开发工具。

AlteraDSPBuilder将TheMathWorksMATLAB和Simulink系统级设计工具的算法开发、仿真和验证功能与VHDL综合、仿真和Altera开发工具整合在一起,实现了这些工具的集成。

  DSPBuilder在算法友好的开发环境中帮助设计人员生成DSP设计硬件表征,从而缩短了DSP设计周期。

已有的MATLAB函数和Simulink模块可以和AlteraDSPBuilder模块以及Altera知识产权(IP)MegaCore功能相结合,将系统级设计实现和DSP算法开发相链接。

DSPBuilder支持系统、算法和硬件设计共享一个公共开发平台。

  设计人员可以使用DSPBuilder模块迅速生成Simulink系统建模硬件。

DSPBuilder包括比特和周期精度的Simulink模块,涵盖了算法和存储功能等基本操作。

可以使用DSPBuilder模型中的MegaCore功能实现复杂功能的集成。

  Altera还提供DSPBuilder高级模块集,这一Simulink库实现了时序驱动的Simulink综合。

  AlteraMegaCore是高级参数化IP功能,例如有限冲击响应(FIR)滤波器和快速傅立叶变换(FFT)等,经过配置能够迅速方便的达到系统性能要求。

MegaCore功能支持Altera的IP评估特性,使您在购买许可之前,便可以验证功能及其时序。

  AlteraIPMegaStore网站上为DSPBuilder和IP评估流程提供DSPIP完整介绍

  DSPBuilderSignalCompiler模块读取由DSPBuilder和MegaCore模块构建的Simulink建模文件(.mdl),生成VHDL文件和工具命令语言(Tcl)脚本,进行综合、硬件实施和仿真。

图示为DSPBuilder设计流程。

DSPBuilder是一个系统级(或算法级)设计工具,它构架在多个软件工具之上,并把系统级和RTL级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势。

DSPBuilder依赖于MathWorks公司的数学分析工具Matlab/Simulink,以Simulink的Blockset出现,可以在Simulink中进行图形化设计和仿真,同时又通过SignalCompiler可以把Matlab/Simulink的设计文件(.mdl)转成相应的硬件描述语言VHDL设计文件(.vhd),以及用于控制综合与编译的TCL脚本。

而对后者的处理可以由FPGA/CPLD开发工具QuartusII来完成。

 

1实验原理

实验原理:

AM幅度调制信号的函数表示为:

来表述,f,f1,f2分别是调制后、载波和调制信号,m是调制度,0

DDS信号发生器要由16位的加法器、16位寄存器正弦波形数据LUT(查找表)、频率控制字组成,并且其输出计算公式为:

2ASK和2FSK的调制信号的长生也是基于原始DDS信号发生器的基础,再进行调制对于2ASK主要调制原理:

显然当

则输出f1,否则输出0电平;

则输出f1,当

则输出f2。

2DDS设计

DDS同DSP(数字信号处理)一样,是一项关键的数字化技术。

DDS是直接数字式频率合成器(DirectDigitalSynthesizer)的英文缩写。

与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。

1971年,美国学者J.Tierney等人撰写的文章"ADigitalFrequencySynthesizer"

首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新的频率合成原

理。

限于当时的技术和器件水平,它的性能指标尚不能与已有的技术相比,故未受到重

视。

近10年间,随着微电子技术的迅速发展,直接数字频率合成器(DirectDigital

FrequencySynthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其它频率合成

方法的优越性能和特点成为现代频率合成技术中的骄骄者[6]。

DDS在相对带宽、频率转换时间、高分辨力、相位连续性、正交输出以及集成化等

一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于

模拟信号源的性能。

其优点如下:

(1)输出频率相对带宽较宽:

输出频率带宽为50%fs(理论值)。

但考虑到低通滤波

器的特性和设计难度以及对输出信号杂散的抑制,实际的输出频率带宽仍能达到40%fs。

(2)频率转换时间短:

DDS是一个开环系统,无任何反馈环节,这种结构使得DDS

的频率转换时间极短。

事实上,在DDS的频率控制字改变之后,需经过一个时钟周期之

后按照新的相位增量累加,才能实现频率的转换。

因此,频率转换的时间等于频率控制

字的传输时间,也就是一个时钟周期的时间。

时钟频率越高,转换时间越短。

DDS的频

率转换时间可达纳秒数量级,比使用其它的频率合成方法都要短数个数量级。

(3)频率分辨率极高:

若时钟fs的频率不变,DDS的频率分辨率就由相位累加器的

位数N决定。

只要增加相位累加器的位数N即可获得任意小的频率分辨率。

目前,大多

数DDS的分辨率在1HZ数量级,许多小于1MHZ,甚至更小。

(4)相位变化连续:

改变DDS输出频率,实际上改变的每一个时钟周期的相位增量,

相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号

相位的连续性。

(5)其他优点:

可产生宽带正交信号及其他多种调制信号、可编程和全数字化、功

耗低、体积小、重量轻、可靠性高、控制灵活方便等方面,并具有极高的性价比。

当然DDS也有局限性,主要表现如下:

(1)输出频带范围有限:

由于受DDS内部DAC和波形存储器(ROM)的工作速度限制,

使得DDS输出的最高频率有限。

目前市场上采用CMOS、TTL、ECL工艺制作的DDS芯片,

工作频率一般在几十MHZ至400MHZ左右。

(2)输出杂散大:

由于DDS采用全数字结构,不可避免地引入了杂散。

其来源主要

有三个:

相位累加器相位舍位误差造成的杂散;幅度量化误差由存储器有限字长引起造

成的杂散和DAC非理想特性造成的杂散。

其频率范围:

信号表示以及输出频率:

基于Matlab的DDS信号发生器实现主要由16位的加法器、16位寄存器正弦波形数据LUT(查找表)、频率控制字组成,其原理图如下图:

2.0

图2.0DDS

并且仿真图如下图2.1

图2.1DDS输出仿真波形

(首行缩进两个字,宋体小四号)

2.1调制度m的设置

调制度m的实现是通过多选一的选择器实现的,通过选择器选择好m值后经过乘法器与DDS乘法器相乘实现。

设计中的实现如图:

2.2

图2.2m调制系数

2.2AM调制的整体设计

在线性调制系列中,最先应用的一种幅度调制是全调幅或常规调幅,简称为调幅(AM)。

不但在频域中已调波频谱是基带调制信号频谱的线性位移,而且在时域中,已调波包络与调制信号波形呈线性关系。

调制信号m(t)叠加直流后再与载波相乘,则输出的信号就是常规双边带调幅(AM)信号。

AM调制器模型如下图所示。

可见,AM信号波形的包络与输入基带信号m(t)成正比,故用包络检波的方法很容易恢复原始调制信号。

但为了保证包络检波时不发生失真,必须满足,否则将出现过调幅现象而带来失真。

AM信号的频谱是由载频分量和上、下两个边带组成(通常称频谱中画斜线的部分为上边带,不画斜线的部分为下边带)。

上边带的频谱与原调制信号的频谱结构相同,下边带是上边带的镜像。

显然,无论是上边带还是下边带,都含有原调制信号的完整信息。

故AM信号是带有载波的双边带信号,它的带宽为基带信号带宽的两倍。

采用AM调制传输信息的好处是解调电路简单,可采用包络检波法。

缺点是调制效率低,载波分量不携带信息,但却占据了大部分功率,白白浪费掉。

然后就是对调制信号的符号处理,加上调制信号的A幅值,或者对载波信号做同样的幅度调节后,采用乘法器实现混频,最后在经过符号处理后处理好输出的位数后输出。

具体的实现如下图2.3

图2.3AM总体设计图

设计仿真结果如下图:

2.4

图2.4AM仿真结果

2.32ASK、2FSK调制的整体设计

数字幅度调制又称幅度键控(ASK),二进制幅度键控记作2ASK。

2ASK是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。

有载波输出时表示发送“1”,无载波输出时表示发送“0”。

借助于第3章幅度调制的原理,2ASK信号可表示为

  

(1)

  式中,为载波角频率,s(t)为单极性NRZ矩形脉冲序列

  

(2)

  其中,g(t)是持续时间为、高度为1的矩形脉冲,常称为门函数;为二进制数字

  (3)

  2ASK信号的产生方法(调制方法)有两种,如图1所示。

图(a)是一般的模拟幅度调制方法,不过这里的s(t)由式

(2)规定;图(b)是一种键控方法,这里的开关电路受s(t)控制。

图(c)给出了s(t)及的波形示例。

二进制幅度键控信号,由于一个信号状态始终为0,相当于处于断开状态,故又常称为通断键控信号(OOK信号)。

  2ASK信号解调的常用方法主要有两种:

包络检波法和相干检测法。

  包络检波法的原理方框图如图2所示。

带通滤波器(BPF)恰好使2ASK信号完整地通过,经包络检测后,输出其包络。

低通滤波器(LPF)的作用是滤除高频杂波,使基带信号(包络)通过。

抽样判决器包括抽样、判决及码元形成器。

定时抽样脉冲(位同步信号)是很窄的脉冲,通常位于每个码元的中央位置,其重复周期等于码元的宽度。

不计噪声影响时,带通滤波器输出为2ASK信号,即,包络检波器输出为s(t)。

经抽样、判决后将码元再生,即可恢复出数字序列。

  相干检测法原理方框图如图3所示。

相干检测就是同步解调,要求接收机产生一个与发送载波同频同相的本地载波信号,称其为同步载波或相干载波。

利用此载波与收到的已调信号相乘,输出为

  经低通滤波滤除第二项高频分量后,即可输出s(t)信号。

低通滤波器的截止频率与基带数字信号的最高频率相等。

由于噪声影响及传输特性的不理想,低通滤波器输出波形有失真,经抽样判决、整形后再生数字基带脉冲。

  虽然2ASK信号中确实存在着载波分量,原则上可以通过窄带滤波器或锁相环来提取同步载波,但这会给接收设备增加复杂性。

因此,实际中很少采用相干解调法来解调2ASK信号。

2.4信号的功率谱及带宽

  

(1)2ASK信号的功率谱由连续谱和离散谱两部分组成。

其中,连续谱取决于数字基带信号s(t)经线性调制后的双边带谱,而离散谱则由载波分量确定。

  

(2)如同第3章分析过的双边带调制一样,2ASK信号的带宽是数字基带信号带宽的两倍。

  (8)

  图42ASK信号的功率谱

  (3)因为系统的传码率(Baud),故2ASK系统的频带利用率为

  (9)

  这意味着用2ASK方式传送码元速率为的二进制数字信号时,要求该系统的带宽至少为(Hz)

∙FSK——频移键控调制FSK(Frequency-shiftkeying),即用不同的频率来表示不同的符号。

如2KHz表示0,3KHz表示1。

二进制符号0对应于载波f1,符号1对应于载频f2,而且f1与f2之间的改变是瞬时完成的一种频移键控技术。

它是数字传输中应用较广的一种方式。

2FSK信号的产生

∙  方法一:

用一个矩形脉冲序列对一个载波进行调频。

  方法二:

键控法

2FSK信号的调制方法

∙  数字调频信号的解调方法很多,如鉴频法、相干检测法、包络检波法、过零检测法、差分检测法等。

  1.包络检波法

  包络检波法可视为由两路2ASK解调电路组成。

这里,两个带通滤波器(带宽相同,皆为相应的2ASK信号带宽;中心频率不同,分别起分路作用,用以分开两路2ASK信号,上支路对应,下支路对应,经包络检测后分别取出它们的包络s(t);抽样判决器起比较器作用,把两路包络信号同时送到抽样判决器进行比较,从而判决输出基带数字信号。

  2、相干检测法

  相干检测的具体解调电路是同步检波器,两个带通滤波器的作用同于包络检波法,起分路作用。

它们的输出分别与相应的同步相干载波相乘,再分别经低通滤波器滤掉二倍频信号,取出含基带数字信息的低频信号,抽样判决器在抽样脉冲到来时对两个低频信号的抽样值进行比较判决(判决规则同于包络检波法),即可还原出基带数字信号。

  3.过零检测法

  过零检测法单位时间内信号经过零点的次数多少,可以用来衡量频率的高低。

数字调频波的过零点数随不同载频而异,故检出过零点数可以得到关于频率的差异,这就是过零检测法的基本思想。

过零检测法方框图及各点波形如图4所示。

2FSK输入信号经放大限幅后产生矩形脉冲序列,经微分及全波整流形成与频率变化相应的尖脉冲序列,这个序列就代表着调频波的过零点。

尖脉冲触发一宽脉冲发生器,变换成具有一定宽度的矩形波,该矩形波的直流分量便代表着信号的频率,脉冲越密,直流分量越大,反映着输入信号的频率越高。

经低通滤波器就可得到脉冲波的直流分量。

这样就完成了频率-幅度变换,从而再根据直流分量幅度上的区别还原出数字信号“1”和“0”。

  4.差分检测法

  差分检波法基于输入信号与其延迟τ的信号相比较,信道上的失真将同时影响相邻信号,故不影响最终鉴频结果。

实践表明,当延迟失真为0时,这种方法的检测性能不如普通鉴频法,但当信道有较严重延迟失真时,其检测性能优于鉴频法。

在AM调制设计的基础上实现2ASK、2FSK,对于2ASK调制只要在输出的基础上添加一个乘法器既可以实现,2FSK的调制通过两个数据选择器来实现,具体设计如图:

2.4

图2.52ASK\2FSK总体设计图

仿真结果如下图:

2.6(仿真采用阶跃信号作为数字信号来调制仅仅只含有一个0和1,真是的信号为更多的01代码串eg0011001010101)

图2.62ASK\2FSK仿真结果

3设计编译下载

编译下载包括simulink中的singnalcompiler编译下载,以及采用QUARTUS2的编译、分配管脚、仿真、和设计。

3.1singnalcompiler

通过matlab的simulink中的singnalcompiler实现对设计的编译和下载如图:

3.1

图3.1编译和下载结果图

其中注意芯片的选取,本设计采用(EP2C5T144C8和EP2C8Q208C8两种芯片仿真下载)

3.2QUARTUS2的编译、分配管脚、仿真、和设计

具体的编译设计步骤如下,首先加载project-打开由DSPBuilder生成的VHDL语言设计-对其进行了例化-新建图形描述文件-加载例化的VHDL设计-新建矩阵波形仿真文件-编译和仿真,具体结果如下图:

3.2

图3.2编译和仿真结果图

下载和管脚分配只要注意时钟和使能引脚的分配根据实验板的不同而不同,其余只要分配普通的IO口就可以了。

4设计注意事项

设计中必定会遇到很多问题因而学会采用合理的方法解决问题是我们设计中最重要的就学习过程。

具体的心得如下:

1,在matlab的simulink中设计时一定要注意充分地了解设计中包含的资源,并且学会利用help来了解设计中不懂得元器件。

2,应定要注意利用调试中常用的逐步仿真设计的思想方法,由模块到整体的自下而上的设计思想。

3,一定要注意学会分析原理图中的各部分的功能和整体的功能,深入的了解整体部分的关系,由黑箱分析从整体到部分的分析方法。

4,在不懂的时候要充分的利用网络并且要敢于通过自己想办法先猜想,再设计简单的电路验证功能。

5,一定要注意信号在各部分的取值情况和数据的形式以及数据的深度,数据的转换与处理是这种设计中最重要的部分,因而一定要弄清楚。

有时候也可以将输出引出观看,结合结果来分析。

自己设计时一定要注意根据实际情况来选择数据的形式,因为我们的芯片的资源有限。

6,注意DSPBuilder的设计和QUARTUS中的还是有一定的差距一定要在其上再次仿真和处理。

同时要掌握其中遇到的问题的处理。

7,注意合理的设置仿真数据,使其既完整同时又具有代表性。

8,最后不要忘了下载和运行。

(由于基于资源的问题只在EP2C8Q208C8核心板上运行,并且在DSPbuilder上设计因而例化的上层文件,如果直接用到其他的project可能出问题,因而没能取得其中的memory文件)

设计图如图:

5结论

通过DSPBuilder等设计工具可以大大的缩短设计开发周期,同时通过设计我学习到了很多的新的知识。

了解了DSPBuilder设计中的数据处理流程,怎样从设计的要求出发,来构建电路。

同时在设计中需要非常注意给器件的数据形式和类型以及数据的长度(这个需要根据设计的精度和开发板的实际资源来进行调整)。

在此次设计中同时我还掌握了合理地运用Matlab的simulink功能来进行数据仿真。

仿真时可以与设计同步进行,逐级仿真和修改。

在用示波器时和实际的一样需要合理的调节显示区域和显示形式。

最后设计的目的当然是下载和仿真,我们可以通过DSPBuilder或者Quartus平台来下载。

由于设计的需要一般将设计放到Quartus上来进行管脚的分配和时钟以及复位脚的设置。

同时在最后的下载前还要在Quartus上进行仿真,因为两者的仿真结果上有时候并不完全一致。

在Quartus上的仿真需要注意设置合理的时钟和复位以及各个输入的设置值,保证所有功能的出现并且正确。

最后需要将仿真的数据显示为模拟直观波形。

最后可以综合自己所学的知识将设计拓展。

总之此次设计既结合了各种设计工具有充分地利用了所学知识,让我获益匪浅

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2