完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx

上传人:b****3 文档编号:11824595 上传时间:2023-06-02 格式:DOCX 页数:20 大小:286.51KB
下载 相关 举报
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第1页
第1页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第2页
第2页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第3页
第3页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第4页
第4页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第5页
第5页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第6页
第6页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第7页
第7页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第8页
第8页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第9页
第9页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第10页
第10页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第11页
第11页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第12页
第12页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第13页
第13页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第14页
第14页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第15页
第15页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第16页
第16页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第17页
第17页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第18页
第18页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第19页
第19页 / 共20页
完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx_第20页
第20页 / 共20页
亲,该文档总共20页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx

《完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx》由会员分享,可在线阅读,更多相关《完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx(20页珍藏版)》请在冰点文库上搜索。

完整版序号9毕业设计论文论文正文结论致谢参考文献25812622.docx

完整版序号9毕业设计论文论文正文结论致谢参考文献25812622

1引言

1.1DDS的简单介绍

DDS同DSP(数字信号处理)一样,是一项关键的数字化技术。

DDS是直接数字式频率合成器(DirectDigitalSynthesizer)的英文缩写。

与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。

现代电子测量工作队波形发生器的性能提出了更高的要求,如输出波形质量更好、频率宽带更宽、频率更稳定、准确度及分辨率更高、频率转换速度且输出波形相位连续等。

DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分。

频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。

DDS芯片输出的一般是数字化的正弦波,因此还需经过高速DA转换器和低通滤波器才能得到一个可用的模拟频率信号。

1.2DDS的发展及其优点

DDS是全数字化技术、其幅度、相位、频率均可实现程控,并可通过更换波形数据灵活实现任意波形,此外,DDS易于单片集成,体积小,价格低,功耗小,因此,DDS技术近年来得到了飞速发展,其应用也越来越广泛。

在各行各业的测试应用中,信号源扮演着极为重要的作用。

但信号源具有许多不同的类型,不同类型的信号源在功能和特性上各不相同,分别适用于许多不同的应用。

目前,最常见的信号源类型包括任意波形发生器,函数发生器,RF信号源,以及基本的模拟输出模块。

信号源中采用DDS技术在当前的测试测量行业已经逐渐称为一种主流的做法。

DDS有如下优点:

1.频率分辨率高,输出频点多,可达2的N次方个频点(N为相位累加器位数);

2.频率切换速度快,可达us量级;

3.频率切换时相位连续;

4.可以输出宽带正交信号;

5.输出相位噪声低,对参考频率源的相位噪声有改善作用;

6.可以产生任意波形;

7.全数字化实现,便于集成,体积小,重量轻。

1.3本文的主要内容

本文主要是运用DDS技术产生一个正弦发生电路的设计,它是一种全数字频率合成技术,它完全没有振荡元件和锁相环,而是用一连串数据流经过数模转换器产生出一个预先设定的模拟信号(正弦信号)。

它将先进的数字信号处理理论与方法引入信号合成领域,实现了合成信号的频率转换速度与频率准确度之间的统一。

1.3.1DDS的主要芯片介绍

如表1-1所示,介绍由AD公司生产的几款DDS芯片的性能指标。

本设计中采用的DDS芯片是AD9850。

AD9850是AD公司生产的最高时钟为125MHz的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成。

能实现全数字编程控制的频率合成,并具有时钟产生功能。

表1-1DDS的主要芯片介绍

2DDS技术产生信号波形的原理

2.1DDS的基本结构及基本工作原理

直接数字频率合成器(DirectDigitalSynthesizer)是一种把一系列数字量形式的信号通过DAC转换成模拟量形式的信号合成技术。

目前使用最广泛的一种DDS方式是利用高速存储器作查寻表,然后通过高速DAC产生已经用数字形式存入的正弦波。

一个直接数字频率合成器由频率控制字、相位累加器、波形存储ROM(正弦查询表)、DA转换器和低通滤波器(LPF)构成。

参考时钟为高稳定度的晶体振荡器,其输出用于同步DDS各组成部分的工作。

DDS的原理框图如图2-1所示:

图2-1DDS原理框图

DDS系统的核心是相位累加器,它由一个加法器与一个N位相位寄存器构成。

每来一个时钟脉冲,加法器将频率控制数据与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。

累加寄存器将加法器在上一个时钟作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟的作用下继续与频率控制数据相加。

这样,相位累加器在参考时钟的作用下,进行线性相位累加,当相位累加器累加满量时就会产生一次溢出,完成一个周期性的动作,这个周期就是DDS合成信号的一个频率周期,累加器的溢出频率就是输出的信号频率。

正弦查询表是一个可编程只读存储器,存储的是以相位为地址的一个周期正弦信号的采样编码值,包含一个周期正弦波的数字幅度信息,每个地址对应与正弦波中0o-360o范围的一个相位点。

将相位寄存器的输出与相位控制字相加得到的数据作为一个地址对正弦查询表进行寻址,查询表把输入的地址相位信息映射成正弦波幅度信号,驱动DAC,输出模拟信号,低通滤波器平滑并滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。

对于计数容量为2N的相位累加器和具有M个相位取样的正弦波波形存储器,若频率控制字为,输出信号频率为,参考时钟频率为,则DDS系统输出信号的频率为。

2.1.1频率控制字的作用

被称为频率控制字,也叫相位增量。

DDS方程为:

,为输出信号频率,为参考时钟频率。

当=1时,DDS输出最低频率(也即频率分辨率),为,而DDS的最大输出频率由奈奎斯特(Nyquist)采样定理决定,即,也就是说的最大值为。

因此,只要足够大,DDS可以得到很细的频率间隔。

要改变DDS的输出频率,只要改变控制字即可。

2.1.2累加器

相位累加器由位加法器与位寄存器级联构成。

每来一个时钟脉冲,加法器将频率控制字与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端。

寄存器将加法器在上一个时钟作用下继续与频率控制字进行相加。

这样,相位累加器在时钟的作用下,进行相位累加。

当相位累加器累加满时就会产生一次溢出,完成一个周期性的动作。

2.2.3控制相位的加法器

通过改变相位控制字可以控制输出信号的相位参数。

令相位加法器的字长为,当相位控制字由0跃变到(≠0)时,波形存储器的输入为相位累加器的输出与相位控制字之和,因而其输出的幅度编码相位会增加,从而使最后输出的信号产生相移。

2.2.4控制波形的加法器

通过改变波形控制字W可以控制输出信号的波形。

由于波形存储器中的不同波形是分块存储的,所以当波形控制字改变时,波形存储器的输入为改变相位后的地址与波形控制字W(波形地址)之和,从而使最后输出的信号产和相移。

2.2.6DA转换器

DA转换器的作用是把合成的正弦波数字量转换成模拟量。

正弦幅度量化序列经DA转换后变成了包络为正弦波的阶梯波。

需要注意的是,频率合成器对DA转换器的分辨率有一定的要求,DA转换器的分辨率越高,合成的正弦波台阶数就越多,输出的波形的精度也就越高。

2.2.7低通滤波器

对DA输出的阶梯波进行频谱分析,可知输出的阶梯波中除主频外,还存在分布在,等等的两边处的非谐波分量。

因此,为了取出主频,必须在DA转换器的输出端接入截止频率为的低通滤波器。

2.2DDS的数学原理

设有一频率为的余弦信号:

现在以采样频率对进行采样,得到的离散序列为:

其中为采样周期。

对应的相位序列为

从上式可以看出相位序列呈线性,即相邻的样值之间的相位增量是一个常数,而且这个常数仅与信号的频率有关,相位增量为:

因为信号频率与采样频率之间有以下关系:

其中与为两个正整数,所以相位的增量也可以完成:

由上式可知,若将的相位均匀的分为等份,那么频率为的余弦信号以频率采样后,它的量化序列的样品之间的量化相位增量为一个不变值。

根据上述原理可以构造一个不变量为量化相位增量的量化序列:

然后完成从到另一个序列的映射,由构造序列:

公式(2—1)

公式(2-1)是连续信号经采样频率为采样后的离散时间序列,根据采样定理,当时,经过低通滤波器平滑后,可唯一恢复出。

可见,通过上述变换不变量将唯一的确定一个单频率模拟余弦信号:

该信号的频率为:

公式(2—2)

公式(2—2)就是直接数字频率合成(DDS)的方程式,在实际的DDS中,一般取,于是DDS方程就可以写成:

公式(2—3)

根据公式(2—3)可知,要得到不同的频率只要通过改变的具体数值就可以了,而且还可以得到DDS的最小频率分辨率(最小频率间隔)为当时的输出频率:

可见当参考频率始终一定是,其分辨率由相位累加器的位数决定,若取,,则,即分辨率可以达到,这也是最低的合成频率,输出频率的高精度DDS的一大优点。

由奈奎斯特准则可知,允许输出的最高频率,即,但实际上在应用中受到低通滤波器的限制,通常,以便于滤波镜像频率,一般:

由此可见DDS的工作频率带较宽,可以合成从直流到的频率信号,同时它的输出相位连续,频率稳定度高。

3总体设计方案

3.1系统设计原理

本文提出的采用DDS作为信号发生核心器件的全数控函数信号发生器设计方案,根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国AD公司的AD9850芯片,并通过单片机程序控制和处理AD9850的32位频率控制字,再经放大后加至以数字电位器为核心的数字衰减网络,从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。

本系统主要由单片机、DDS直接频率信号合成器、数字衰减电路、真有效值转换模块、AD转换模块、数字积分选择电路等部分组成。

单片机AT89S52是整个系统关键部分,通过对键盘进行扫描读入相位信息,经转换后输出到芯片AD9850,输出波形。

键盘输入的数字信息经AT89S52控制的LCD1602显示。

3.2总体设计框图

系统构成如下图3-1所示。

图3-1系统框图

4系统硬件模块的组成

4.1键盘控制模块

由于本设计中需要对输出波形的各项参数进行设置,所需要的按键较多,为了节省单片机的IO口资源我们采用2*3的矩阵式键盘,如图4-1所示,通过2*3矩阵式键盘对波形的频率进行设置,操作简单方便,充分利用了单片机资源。

图4-1键盘控制电路

4.2单片机控制模块

主控电路中,以单片机为主体,通过分析键盘输入的数字值,对AD9850写入相应的控制字。

它是系统的大脑。

单片机(MICROCONTROLLER,又称微控制器)是在一块硅片上集成了各种部件的微型机算计,这些部件包括中央处理器CPU、数据存贮器RAM、程序存贮器ROM、定时器计数器和多种IO接口电路。

4.2.1AT89S52功能特性描述

AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。

使用ATMEL公司高密度非易失性存储器技术制造,与工业80C51产品指令和引脚完全兼容。

片上Flash允许程序存储器在系统可编程,亦适于常规编程器。

在单芯片上,拥有灵巧的8位CPU和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、有效的解决方案。

AT89S52具有以下标准功能:

8k字节Flash、256字节RAM、32位IO口线、看门狗定时器、2个数据指针、三个16位定时器计数器、一个6向量2级中断结构、全双工串行口、片内晶振及时钟电路。

另外,AT89S52可降至0Hz静态逻辑操作,支持2种软件可选择节电模式。

空闲模式下,CPU停止工作,允许RAM、定时器计数器、串口、中断继续工作。

掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。

AT89S52的引脚结构如图:

图4-2单片机AT89S52引脚结构图

P0口:

P0口是一个8位漏极开路的双向IO口。

作为输出口,每位能驱动8个TTL逻辑电平。

对P0端口写“1”时,引脚用作高阻抗输入。

当访问外部程序和数据存储器时,P0口也被作为低8位地址数据复用。

在这种模式下,P0具有内部上拉电阻。

在flash编程时,P0口也用来接收指令字节;在程序校验时,输出指令字节。

程序校验时,需要外部上拉电阻。

P1口:

P1口是一个具有内部上拉电阻的8位双向IO口,P1输出缓冲器能驱动4个TTL逻辑电平。

对P1端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。

作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。

此外,P1.0和P1.2分别作定时器计数器2的外部计数输入(P1.0T2)和时器计数器2的触发输入(P1.1T2EX),在flash编程和校验时,P1口接收低8位地址字节。

P2口:

P2口是一个具有内部上拉电阻的8位双向IO口,P2输出缓冲器。

能驱动4个TTL逻辑电平。

对P2端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。

作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流。

在访问外部程序存储器或用16位地址读取外部数据存储器(例如执行MOVX@DPTR)时,P2口送出高八位地址。

在这种应用中,P2口使用很强的内部上拉发送1。

在使用8位地址(如MOVX@RI)访问外部数据存储器时,P2口输出P2锁存器的内容。

在flash编程和校验时,P2口也接收高8位地址字节和一些控制信号。

随着计算机技术的高速发展,单片机以其自身的特点,已广泛应用于智能仪器、工业控制、家用电器、电子玩具等各个领域。

4.2.2时钟电路

图4-3时钟电路

XTAL1是片内振荡器的反相放大器输入端,XTAL2则是输出端,使用外部振荡器时,外部振荡信号应直接加到XTAL1,而XTAL2悬空。

内部方式时,时钟发生器对振荡脉冲二分频,如晶振为12MHz,时钟频率就为6MHz。

晶振的频率可以在1MHz-24MHz内选择。

电容取30PF左右。

AT89C51中有一个用于构成内部振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别是该放大器的输入端和输出端。

这个放大器与作为反馈元件的片外石英晶体或者陶瓷谐振器一起构成自激振荡器。

片外石英晶体或者陶瓷谐振器及电容C1、C2接在放大器的反馈回路中构成并联振荡电路。

对外接电容C1、C2虽然没有十分严格的要求,但电容容量的大小会轻微影响振荡频率的高低、振荡器工作的稳定性、起振的难易程序及温度稳定性,这里采用电容30pF,晶振采用11.0592MHz。

4.2.3复位电路

AT89C51的外部复位电路有上电自动复位和手动按键复位。

上电复位电容充电来实现。

手动按键复位又分为按键电平复位和按键脉冲复位。

按键电平复位电路是在普通RC复位电路的基础上接一个有下拉电阻10K、上拉电容10μf接VCC,电源由开关经串接的1K限流电阻至复位脚(和上拉电容并联),上拉电容支路负责在“上电”瞬间实施复位;开关通过1K上拉电阻和10K下拉电阻分压器,保证对单片机实施按键电平复位。

电路图如图4-4所示。

图4-4复位电路

4.3LCD显示模块

4.3.1LCD1602的主要性能

1602型LCD可以显示2行16个字符,有8位数据总线D0~D7和RS,RW,EN三个控制端口,工作电压为5V,并且具有字符对比度调节和背光功能。

1602型LCD的接口信号说明,如表4-1所示:

表4-1LCD1602接口说明

编号

符号

引脚说明

编号

符号

引脚说明

1

VSS

电源地

9

D2

DataIO

2

VDD

电源正极

10

D3

DataIO

3

VL

液晶显示偏压信号

11

D4

DataIO

4

RS

数据命令选择端(HL)

12

D5

DataIO

5

RW

读写选择端(HL)

13

D6

DataIO

6

E

使能信号

14

D7

DataIO

7

D0

DataIO

15

BLA

背光源正极

8

D1

DataIO

16

BLK

背光源负极

基本操作程序

读状态:

输入:

RS=L,RW=H,E=H输出:

D0~D7=状态字

读数据:

输入:

RS=H,RW=H,E=H输出:

写指令:

输入:

RS=L,RW=L,D0~D7=指令码,E=高脉冲输出:

D0~D7=数据

写数据:

输入:

RS=H,RW=L,D0~D7=数据,E=高脉冲输出:

4.3.2LCD1602与单机的连接

由于本设计显示的数字位数较多,我们采用LCD1602作为显示装置,既能达到显示位数的目的,有能达到节省单片机资源的效果,如图4-5所示,LCD1602与单片机的连接,1602的DB0—DB7与89S52的P2口相接,RS与P13相接,RW与P12相接,E与P11相接。

VL与地之间接一个10K的滑动变阻器起到LCD1602初始显示的调节。

图4-5LCD与单片机的接口电路

4.4AD9850与单片机连接模块

4.4.1AD9850简介

美国AD公司推出的高集成度频率合成器AD9850便是采用DDS技术的典型产品之一。

AD9850采用先进的CMOS工艺,其功耗在3.3V供电时仅为155mW,扩展工业级温度范围为-40~80℃,采用28脚SSOP表面封装形式。

AD9850的引脚排列如图4-6所示,图4-7为其组成框图。

中层虚线内是一个完整的可编程DDS系统,外层虚线内包含了AD9850的主要组成部分。

AD9850内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成。

可编程DDS系统的核心是相位累加器,它由一个加法器和一个位相位寄存器组成,一般为24~32。

每来一个外部参考时钟,相位寄存器便以步长递加。

相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。

正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中0o-360°范围的一个相位点。

查询表把输入地址的相位信息映射成正弦

图4-6AD9850管脚排列图

图4-7AD9850组成框图

波幅度信号,然后驱动DAC以输出模拟量。

相位寄存器每过个外部参考时钟后返回到初始状态一次,相应地正弦查询表每经过一个循环也回到初始位置,从而使整个DDS系统输出一个正弦波。

输出的正弦波周期,频率,、分别为外部参考时钟的周期和频率。

AD9850采用32位的相位累加器将信号截断成14位输入到正弦查询表,查询表的输出再被截断成10位后输入到DAC,DAC再输出两个互补的电流。

DAC满量程输出电流通过一个外接电阻RSET调节,调节关系为:

Rset的典型值是3.9kΩ。

将DAC的输出经低通滤波后接到AD9850内部的高速比较器上即可直接输出一个抖动很小的方波。

AD9850在接上精密时钟源和写入频率相位控制字之后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。

在125MHz的时钟下,32位的频率控制字可使AD9850的输出频率分辨率达0.0291Hz;并具有5位相位控制位,而且允许相位按增量180°、90°、45°、22.5°、11.25°或这些值的组合进行调整。

4.4.2AD9850的控制字与控制时序

AD9850有40位控制字,32位用于频率控制,5位用于相位控制,1位用于电源休眠(Powerdown)控制,2位用于选择工作方式。

这40位控制字可通过并行方式或串行方式输入到AD9850,图4-8是控制字并行输入的控制时序图,在并行装入方式中,通过8位总线D0…D7将可数据输入到寄存器,在重复5次之后再在FQ-UD上升沿把40位数据从输入寄存器装入到频率相位数据寄存器(更新DDS输出频率和相位),同时把地址指针复位到第一个输入寄存器。

接着在W-CLK的上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W-CLK上升沿后,W-CLK的边沿就不再起作用,直到复位信号或FQ-UD上升沿把地址指针复位到第一个寄存器。

图4-8控制字并行输入的时序图

图4-9控制字串行输入的时序图

在串行输入方式,W-CLK上升沿把25引脚的一位数据串行移入,当移动40位后,用一个FQ_UD脉冲即可更新输出频率和相位。

图4-9是相应的控制字串行输入的控制时序图。

AD9850的复位(RESET)信号为高电平有效,且脉冲宽度不小于5个参考时钟周期。

AD9850的参考时钟频率一般远高于单片机的时钟频率,因此AD9850的复位(RESET)端可与单片机的复位端直接相连。

表4-2AD9850串行装载的数据结构

位代号

功能

位代号

功能

位代号

功能

位代号

功能

W0

Freq-b0

(LSB)

W10

Freq-b10

W20

Freq-b20

W30

Freq-b30

W1

Freq-b1

W11

Freq-b11

W21

Freq-b21

W31

Freq-b31

(MSB)

W2

Freq-b2

W12

Freq-b12

W22

Freq-b22

W32

Control

W3

Freq-b3

W13

Freq-b13

W23

Freq-b23

W33

Control

W4

Freq-b4

W14

Freq-b14

W24

Freq-b24

W34

Power-Down

W5

Freq-b5

W15

Freq-b15

W25

Freq-b25

W35

Phase-b0

(LSB)

W6

Freq-b6

W16

Freq-b16

W26

Freq-b26

W36

Phase-b1

W7

Freq-b7

W17

Freq-b17

W27

Freq-b27

W37

Phase-b2

W8

Freq-b8

W18

Freq-b18

W28

Freq-b28

W38

Phase-b3

W9

Freq-b9

W19

Freq-b19

W29

Freq-b29

W39

Phase-b4

(MSB)

在表4-2中,位W0~W31的32位是频率控制字,改变它的内容可以改变AD9850的输出频率。

位W32和W33用于工厂测试,应向这两位赋0。

位W34用来控制AD9850的上电和掉电,当不需要输出信号时,通过打这一位置1来实现掉电。

位W35~W39的5位是相位控制字,改变它的内容可以改变AD9850的输出相位。

串行装载时,AD9850的D7引脚和W_CLK引脚组成同步串行接口,这个接口可以直接与89S52相接连。

40位控制数据字通过AD9850的D7引脚在W_CLK引脚的脉冲信号上升边沿作用下分40次装入。

W0在前,W39在后,依次装入。

完成40位控制数据字的装载后,FQ_UD引脚的脉冲信号上升沿刷新AD9850的工作状态,同时复位寄存器指针,准备下一次位控制数据字的装入。

4.4.3单片机与AD9850的接口

单片机与AD9850的接口既可采用并行方式,也可采用串行方式,但为了充分发挥芯片的高速性能,应在单片机资源允许的情况下尽可能选择并行方式,本文重点介绍其并行方式的接口。

并行接口接口电路比较简单,但占用单片机资源相对较多,图4-10是IO方式并行接口的电路图,AD9850的数据线D0~D7与P1口相连,FQ_UD和W_CLK分别与P2.3(10引脚)和P2.4(11引脚)相连,所有的时序关系均可通过软件控制实现。

图4-10AD9850与单片机连接

4.5滤波电路设计

为了使输出的频率不受外界和一些杂波的干扰,需用一个低通滤波器(LPF)滤除高次谐波。

常用的滤波器的频率响应有三种:

巴特沃斯型(Butterworth),切比雪夫型(Chebyshev)和椭圆型(Cauer)。

其中巴特沃斯滤波器通带最平坦,它的通带内没有纹波,在靠近零频处,有最平坦通带,趋向阻带时衰减单调增大,缺点是从通带到阻带的过渡

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工作范文 > 行政公文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2