11001序列检测器设计实训报告.docx

上传人:b****8 文档编号:11884959 上传时间:2023-06-03 格式:DOCX 页数:11 大小:509.11KB
下载 相关 举报
11001序列检测器设计实训报告.docx_第1页
第1页 / 共11页
11001序列检测器设计实训报告.docx_第2页
第2页 / 共11页
11001序列检测器设计实训报告.docx_第3页
第3页 / 共11页
11001序列检测器设计实训报告.docx_第4页
第4页 / 共11页
11001序列检测器设计实训报告.docx_第5页
第5页 / 共11页
11001序列检测器设计实训报告.docx_第6页
第6页 / 共11页
11001序列检测器设计实训报告.docx_第7页
第7页 / 共11页
11001序列检测器设计实训报告.docx_第8页
第8页 / 共11页
11001序列检测器设计实训报告.docx_第9页
第9页 / 共11页
11001序列检测器设计实训报告.docx_第10页
第10页 / 共11页
11001序列检测器设计实训报告.docx_第11页
第11页 / 共11页
亲,该文档总共11页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

11001序列检测器设计实训报告.docx

《11001序列检测器设计实训报告.docx》由会员分享,可在线阅读,更多相关《11001序列检测器设计实训报告.docx(11页珍藏版)》请在冰点文库上搜索。

11001序列检测器设计实训报告.docx

11001序列检测器设计实训报告

电子技术设计实训报告

 

指导教师:

**

小组成员:

*

201458244*

*

201458244*

*

201458244*

*

201458244*

 

成绩评定:

教师签名:

评定时间

设计

1.题目:

“11001”序列检测器。

原始条件:

逻辑器件:

CMOS门电路

集成芯片:

74SL2074LS0074LS112

2.要求完成设计的主要任务:

1)能够运用数字逻辑的理论和方法,把时序逻辑电路设计和组合逻辑电路设计相结合,设计一个有实际应用的数字逻辑电路。

2)使用同步时序逻辑电路的设计方法,设计“11001”序列检测器。

写出设计中的过程。

画出课程设计图。

3)在试验设备上,使用74SL2074LS0074LS112集成电路连接、调试和测试“11001”序列检测器电路。

3.小组成员分工

*设计序列

*电子版实验报告,化简卡诺图

*:

画电路图、仿真电路图

*:

检查设计失误、物理机电路连线

电路分析设计过程

4.逻辑抽象

S0:

初始状态

S1:

1

S2:

11

S3:

110

S4:

1100

S5:

11001

根据任务书要求,设计的序列检测器有一个外部输入A和一个外部输出Y。

输入和输出的逻辑关系为:

正常情况下Y=0,出现A=11001时,Y=1

5.状态转换图

6.状态转换表

表1

由表1可知,S1和S5是等价状态,故可以合并。

下图为化简后的状态转换图。

7.卡若图化简

规定电路状态编码,电路需要5个状态。

需要3(2n-1<状态数≦2n)个触发器。

现取Q2Q1Q0=001表示S1,Q2Q1Q0=010表示S2,Q2Q1Q0=011表示S3,Q2Q1Q0=100表示S4,即可得到:

S0:

000

S1:

001

S2:

010

S3:

011

S4:

100

由上述转换表可以转化为卡诺图

下面即可分解卡诺图

8.逻辑函数式

由上述的卡诺图得到状态方程和输出方程

化简得到

上式与JK触发器的特性方程对照比较可以得出(Q*=JQ’+K’Q)

9.JK触发器具体实现电路图

由上述的式子我们可以画出模拟电路图(完整电路图附页说明)

10.仿真软件仿真效果(截图说明)

下面进行仿真实验,X3灯是为了显示0、1输入,X2灯是显示脉冲输入情况。

X4、5、6是为了显示JK触发器是否处于初始状态,X1灯是检查11001是否完整输入。

当完整输入时,该灯会亮。

输入信号时的情况

即将完成输入(1100)

检查到目标信号,X1灯点亮。

说明该电路正确可以实现既定目标。

经下一个信号1001时候,X1灯点亮,说明该电路可循环使用。

10.1完整状态转换图

11.实验结论

在Multisim环境中,我们成功利用JK触发器完成11001序列信号检测器。

加深了我们对数字逻辑这门课程的理解与认识。

实际连线加强了动手能力;对触发器、“与”门、“或”门、以及非门的使用与连接有了进一步的了解。

并且认识到团队合作的重要性。

12.设计过程中遇到的困难及解决方法

1、对Multisim环境还是不熟悉,通过查阅论文资料、视频教材解决。

2、对Viso画图软件操作不熟悉。

通过多次练习可达到提升水平。

3、说到设计,我们如今已经可以避免发生一些原则性的错误,但是有一些细节我们还是做得不够,这是我们以后的设计中应该提起重视和改进。

参考资料

[1]孙传伟.济南大学信息学院电子系.Multisim10电路设计及仿真应用

[2]冯志宇1,胡蓉2.Multisim在数字电路中的应用基于Multisim13的序列信号发生器的设计

[3]牛慧娟,冯学桥,赵桂青,杨少卿.序列信号检测器的设计与Multisim仿真

[4]阎石.数字电子技术基本教程

[5]王毓银.数字电路与逻辑设计

2016年1月6日结题

附录:

JK触发器完整电路图

法二:

D触发器设计检测“11001”序列

由7的卡诺图可得

仿真图符号代码转换

D2=U4A

U5B

D1=U7A+U5A

D0=U8A+U6A+U9A

U10A

Y=AQ2

图为D触发“11001”序列检测器电路图

 

检测中

检测到“11001”序列,X3灯点亮,完成既定目标。

说明该电路正确可以实现既定目标。

经下一个信号1001时候,X1灯点亮,说明该电路可循环使用。

 

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2