基于FPGA低频信号发生器的设计毕业设计.docx

上传人:b****6 文档编号:12768852 上传时间:2023-06-07 格式:DOCX 页数:47 大小:145.50KB
下载 相关 举报
基于FPGA低频信号发生器的设计毕业设计.docx_第1页
第1页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第2页
第2页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第3页
第3页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第4页
第4页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第5页
第5页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第6页
第6页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第7页
第7页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第8页
第8页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第9页
第9页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第10页
第10页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第11页
第11页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第12页
第12页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第13页
第13页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第14页
第14页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第15页
第15页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第16页
第16页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第17页
第17页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第18页
第18页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第19页
第19页 / 共47页
基于FPGA低频信号发生器的设计毕业设计.docx_第20页
第20页 / 共47页
亲,该文档总共47页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA低频信号发生器的设计毕业设计.docx

《基于FPGA低频信号发生器的设计毕业设计.docx》由会员分享,可在线阅读,更多相关《基于FPGA低频信号发生器的设计毕业设计.docx(47页珍藏版)》请在冰点文库上搜索。

基于FPGA低频信号发生器的设计毕业设计.docx

基于FPGA低频信号发生器的设计毕业设计

 

本科毕业设计(论文)

基于FPGA低频信号发生器的设计

 

燕山大学毕业设计(论文)任务书

学院:

系级教学单位:

学生

姓名

专业

班级

题目名称

基于FPGA低频信号发生器的设计

题目性质

1.理工类:

工程设计(√);工程技术实验研究型();

理论研究型();计算机软件型();综合型()

2.管理类();3.外语类();4.艺术类()

题目类型

1.毕业设计(√)2.论文()

题目来源

科研课题()生产实际()自选题目(√)

本课题用FPGA产生低频信号具有高度精确吗频率分辨率高,可靠性好等优点。

可选择正弦波,方波,三角波,锯齿波,TTL,百噪声,高斯噪声,直流,梯形,指数等常规波形输出。

信号输出通道可达2-3路,信号通道可分别输出波形,也可同步输出,两通道相位差可以设置。

1.本设计能产生正弦波,三角波,占空比可调的方波以及它们的线性组合;

2.幅度可调范围为0-5V;

3.频率在100Hz-20KHz之间能以100Hz为步进进行调整。

1.戚勇,叶哲江,闫志刚,数字式低频信号发生器的软件设计《山东理工大学学报(自然科学版)》2007年第21卷第02期

2.徐文强,任勇峰,文丰,基于FPGA的高速脉冲信号源的设计与实现《微型计算机信息》2007年第23卷第02期

周次

第1~4周

第5~8周

第9~12周

第13~16周

第17~18周

收集资料,了解FPGA的基本原理,阅读相关文献。

确定总体方案,确定技术路线。

FPGA信号发生器系统设定

软件设计及调试

设计总结撰写论文

指导教师:

职称:

2009年1月6日

系级教学单位审批:

年月日

摘要

本设计利用FPGA器件实现低频信号发生器,以完成正弦波、方波和三角波信号的发生,并对其各系统组成部分的原理和实现方法进行了说明。

基于FPGA的低频信号发生器对比于传统信号发生器具有系统稳定度高,控制方便,功能强大,可扩展性强的特点。

信号发生器编程部分采用硬件描述语言(HDL)来实现,能够完成信号的产生以及波形的选择等多种控制功能。

本论文对现场可编程门阵列(FPGA)器件进行了介绍,FPGA以其独有的通过改变软件来控制硬件功能的工作方式,被广泛应用于信号发生器等领域。

另一方面,论文研究了信号发生器的构造,工作原理以及实现方法,并且给出了基于FPGA实现多波形信号发生器的设计过程和周边部分的原理与结构。

其次,论文对本系统的软件部分进行了研究,说明了软件实现波形的流程。

由于该设计用FPGA实现,因此具有许多优点,比如:

在不修改硬件电路的情况下频率可调,波形可以选择正弦波、三角波以及方波等多种波形,且电路结构简单、扩展性好,具有频率范围宽、频率分辨率高、相位连续、切换速度快等特点。

本设计产生的波形频率可在100Hz~20KHz之间以100Hz为步进进行调整;幅度可调范围为0~5V。

关键词 现场可编程门阵列;信号发生器;硬件描述语言;波形

 

Abstract

Thissystemaccomplishestheoccurrenceandtheperformanceregulateofthesinewave,therectangularwaveandthetrianglewaveusingsingleFPGAchip.Thewholesystemholdshighstabilization,convenientcontrolandstrongfeasibilitytoexpand.Withthehardwaredescriptionlanguage(HDL),theprogrammingpartcanachievesignalgenerationandthechoiceofwaveformandothercontrolfunctions.

ThethesisintroducedFieldProgrammableGateArray(FPGA),itisanewtypeofhigh-densityPLD,anditisoneofthemostwidelyusedPLDdevicesintheworld.Next,Thisthesisstudiedthestructuralaspectsofsignalgenerator,theworkingprincipleandrealizationmethod.AndgivestheFPGA-basedmulti-waveformsignalgeneratorofthedesignprocessandthesurroundingpartofthetheoryandstructure.Afterwards,Itshowstheprocesshowtorealizethewaveforminthesoftware.AstheFPGAdesigntoachieve,ithasmanyadvantages,suchas:

donotmodifythehardwarecircuitinthecaseofadjustablefrequency,sinusoidalwaveformcanchoose,trianglewaveform,etc.,andthecircuitstructureissimple,scalable,andhasawidefrequencyrange,highfrequencyresolution,phase-continuous,andfastswitching.Thefrequencycanbeadjustedfrom100Hzto20KHz,itsintervalis100Hz.Itcangratifytherequestofthedesign.

Keywords FieldProgrammableGateArray(FPGA);signalgeneratordevice;HardwareDescriptionLanguage(HDL);Waveform

 

第1章绪论

1.1课题背景

1.1.1信号发生器研究背景

信号发生器又称信号源或振荡器,它已经成为现代科技进步离不开的基础设备之一,在许多领域发挥着重要的作用。

信号发生器可产生各类特定波形特定频率的信号以供后续设备或研究实验使用。

目前信号发生器所能产生的信号以电信号为主。

信号的产生、传输以及处理,在日常应用领域对应于信息的产生、交换和处理,在科研实验领域对应于检测信号的发生、检测过程和分析。

只有可利用的信号确实存在,它的传输和处理过程才具有实际意义。

在当今这个已经进入了信息时代的社会,人们日常的生活,社会的运转,国家的安全都离不开信号发生器。

信号发生器就是信号存在的前提。

作为信号的源头,信号发生器的质量、性能参数是否符合要求直接关系到信号处理或者分析的后续阶段能否顺利的进行以及最终处理效果与分析结果是否符合要求。

现阶段,世界上已经研制出许多类型的高质量高可靠性的信号发生器,这在某些方面降低了信号传输、处理、与分析的难度。

另外,如果信号在产生阶段就存在错误,那么对于接收信号的一端或者运行的过程终端,错误就相对无法避免。

所以,想要尽全力杜绝信号传输、处理以及发挥作用过程中的错误,就要求在信号发生器领域达到很高的要求。

这也就需要一个符合实际应用要求,设计正确无误的信号发生器。

本课题所研究的低频信号发生器是信号发生器当中的一种类型,低频信号发生器的发展经历了几十年的时间,已经拥有了相对成熟的技术基础。

在广义范围内,本文所涉及的低频信号发生器是一个相对的概念,它是相比于高频信号发生器的。

目前世界上没有一个统一的界限规定所谓低频的频率范围与高频的频率范围。

在不同的领域,如声音信号、电信号、光波信号等其所指也会有明显不同。

另外一个需要说明的事实是,低频信号发生器满足了许多当今社会的需求,在军事,科研,医疗等领域都有独特的不可替代的作用。

低频信号发生器的发展现状也已经形成了以单片机、嵌入式系统为载体,DDS、传统信号发生器(信号源、振荡器)为实现方法的相对成熟的技术。

1.1.2FPGA研究背景

FPGA是英文Field-ProgrammableGateArray的缩写,即现场可编程门阵列。

现场可编程门阵列(FPGA)器件是一种新型的高密度现场可编程逻辑器件(PLD)。

FPGA采用了CMOS-SRAM工艺进行制造,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物,也是目前世界上应用最为广泛的PLD器件之一。

FPGA是专门集成电路(ASIC)领域内的一种半定制电路,它的出现在一定程度上解决了定制电路的不足,也克服了原来可编程器件的门电路数量有限的缺点。

当今社会是一个数字化的社会,FPGA技术作为电子设计自动化的一类重要器件,其发展得益于数字化集成电路的进步。

如今数字集成电路在人们日常生活、科研及军事等领域发挥着极大的作用。

回顾数字电路的发展,经历了从电子管、晶体管、小规模集成电路到超大规模集成电路和超位集成电路的过程,在时间上只用了短短十几年,在规模上,也基本达到了每一年或两年增长一倍的速度。

正像前面提到的那样,首先说明数字集成电路的发展史,是因为在某些方面,集成电路的发展也极大的促进了电子设计自动化(ElectronicDesignAutomation)的发展[1]。

原来由半导体厂商独立承担的全(半)定制ASIC的设计与制造已经可以由系统设计师在实验室内独立设计并进行实际应用。

进入21世纪以后,EDA技术得到了更大的发展,其中在FPGA上实现了数字信号处理(DigitalSignalProcessing)和更大规模的FPGA的推出都说明了这点。

进入21世纪以来,FPGA技术取得了飞速发展,在当今电子设计自动化领域占有相当重要的地位。

同时,FPGA在各个领域都已经得到了相当广泛的应用。

在数字信号处理(DSP)领域中,FPGA技术发挥其独特优势,解决了传统数字信号处理方法遇到的硬件设备复杂庞大,设计可修改性差,耗能高等缺点。

在计算机领域的运用中,与传统超级计算机上动辄使用数百甚至上千个处理器不同的是,使用了大约十几个相对便宜的现场可编程门阵列(FPGA)芯片,每个FPGA芯片能够并行地处理数千个任务;而传统计算机上使用的处理器只能同时处理一个任务,因此,尽管传统的超级计算机配置有数以百计的处理器,只配置有少数FPGA芯片的超计算机的运算性能就能够超过传统的超级计算机。

另外FPGA芯片能够通过使用与芯片上晶体管相连接的内存单元重新配置,因此与大多数芯片一旦生产出来就不再可以改变不同的是,FPGA芯片能够被反复改变。

在航天领域,科学家在卫星中使用FPGA芯片,因为这使得他们无需更换芯片,而只要发送新的软件和改变电路就能够对计算机进行升级。

我们可以设想,不是每年,而是每40毫秒对芯片重新配置一次,使它处理不同的任务—就像生产工厂不断的流水线生产不同的产品那样。

从理论上说,我们将拥有功能异常强大的计算机系统,它不但能够进行并行处理,而且能够不断地改变电路,根据不同任务对它本身进行优化,并根据需要使用资源。

FPGA在电力系统中的应用也很重要,由于FPGA有集成度高、编程仿真方便、速度快等优点,使得FPGA在信号处理、通信以及电力系统中得到了广泛地应用。

尤其在电力系统中,FPGA日益显现出它的优点,例如在变频调速系统、有源滤波器中得到了广泛的应用。

综上所述,FPGA是一种非常有前途的新技术,很适合于科研工作中的样机、新产品及一些生产量不是特别大的产品。

对于批量较大产品可以通过板图优化制成ASIC以降低成本。

1.2课题国内外应用现状及发展前景

1.2.1信号发生器的应用及前景

信号发生器在生产实践和科技领域中有着广泛的应用。

在数学当中,信号的各种波形曲线都可以用三角函数方程式来表示。

能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。

函数信号发生器在电路实验和设备检测中具有十分广泛的用途。

例如在通信、广播、电视系统中,都需要射频(高频)发射器,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。

信号发生器在医疗、军事、工业、农业、科学研究等领域有着不可替代的作用。

在医疗领域的应用有生物医学,医疗器械等方面,许多医疗器械依靠信号发生器产生的不同频率、幅度的信号探测人体,对人体进行治疗或者对病变组织进行检查,如超声诊断、核磁共振成像等。

在军事方面,各类雷达、无损探伤系统、电子对抗系统、测量控制系统、军事通讯系统也有不同类型信号发生器的身影。

信号发生器在工业、农业、生物医学等领域内的应用也很广泛,如高频感应加热、熔炼、淬火等。

在科学研究方面,低频信号发生器的应用更为广泛,地震模拟、机械震动、工业过程控制、电子测量、航空测控、跳频通信、高速数据采集系统等领域通常都需要功率或大或小,频率或高或低的信号源,许多相关科技成果,已经潜移默化地应用于人们的生活。

低频信号发生器作为信号发生源的一种,其应用已经包括在了上面的内容中,正如前面所论述过的那样,低频信号是相对的,在不同领域,都会有其相对的所谓低频,一般来讲,低频信号发生器用来产生频率为20Hz~200kHz的信号。

除具有电压输出外,有的还有功率输出。

所以用途十分广泛,可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。

另外,在校准电子电压表时,它可提供交流信号电压。

综上所述,信号发生器不是一个陌生的概念,它离人们的日常生活并不遥远。

在今后,信号发生器将向着频谱范围更广,信号更稳定持久,技术更成熟,耗能更低,成本更低廉,既能适应于批量生产又能满足个别用户特殊需求的方向全面发展。

信号发生器将继续正在我们身边,为人类的健康,祖国的安全,工农业的发展,科技的进步做着自己不可被替代的贡献。

1.2.2FPGA在信号发生器领域的应用

信号发生器作为电子技术方面一种常用的基础设备,在过去很长一段时间内都是由模拟电路构成的。

以模拟电路为基础的信号源有一些优点,例如在较高频率的范围内其频率可调性好,稳定性高等。

但是,随着科学技术的进步,在科学研究与生产实践中,人们对于信号源的要求也越来越苛刻,例如生物医学,地理勘测,工业控制以及机械制造等领域,通常会要求信号源能产生频率较低的信号。

以模拟电路为基础的信号发生器的缺点,恰恰就在于其不能生成令人满意的低频信号,而且如果要用于低频信号发生器,模拟电路的RC值会比较大[2],就目前的制造水平来说,制造阻值很大的电阻相对容易,而大电容在制造上有许多困难。

这将使得以模拟电路为基础的信号发生器的参数准确度难以得到保证。

另外一方面,例如在高速数据采集系统中,信号源起到的是校验设备的作用,因此它需要能够非常及时、有效地向负责采集的设备提供相对稳定的高频信号以用于检验监控数据采集设备的工作情况。

这个系统同时还要求信号发生器能够产生一些必要的控制类信号,用来控制负责数据采集的系统。

从这个角度说,这就要求信号源自身拥有更加可靠、稳定的工作状态。

另外一方面,设计并实现更加通用,更加小型化的信号源是信号采集系统的必然要求,模拟电路在这些方面还存在一些很难避免的不足,例如较为庞大的体积,较明显的漏电损耗现象等,都会给信号发生器的质量和实际使用造成一定的影响。

因此,以本论文所研究的基于FPGA的信号发生器为代表的新一代信号发生器应运而生。

这类信号发生器是以EDA技术的成熟以及CPLD/FPGA这类大规模可编程逻辑器件的发展完善为软硬件基础的。

本文着重介绍的是一种基于FPGA的低频信号发生器,此信号发生器可产生正弦波,三角波,占空比可调的方波以及它们的线性组合,不同波形的频率可方便地控制在100Hz—20KHz的低频范围内。

在编程方面采用VHDL硬件描述语言进行设计实现。

具有高精度,高速,频率分辨率高,可靠性好等优点,充分体现出ASIC芯片在数字电路设计中的优势和广阔的应用前景。

现今越来越多的信号发生器采用现代此类设计方案。

FPGA器件拥有良好的可修改性和稳定性,凭借这些优良的性能,以FPGA为代表的新一代信号发生器也将得到更大的发展,其全面广泛的应用已经成为一种不可阻挡的发展趋势。

1.3本文研究的主要内容

本文主要研究了一种基于FPGA的低频信号发生器,该信号发生器可产生正弦波、方波、三角波等多种波形,并且具有精度高,速度快,可靠性好等优点。

在本论文中,对信号发生器的应用、原理进行了说明,对该信号发生器系统各部分的实现进行了论述。

并且对硬件描述语言以及所用到的软件进行了说明。

第2章信号发生器的原理及实现方法

2.1信号发生器原理

2.1.1信号发生器概述

凡是产生测试信号的仪器,统称为信号发生器,也称为信号源,它多用于产生被测电路所需特定参数的电测试信号以及后续设备需要用到的其他特定参数的信号。

在测试、研究或调整电子电路及设备时,为测定电路的一些电参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的激励信号。

当要求进行系统的稳态特性测量时,需使用振幅、频率已知的正弦信号源。

当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复周期已知的矩形脉冲源。

并且要求信号源输出信号的参数,如频率、波形、输出电压或功率等,能在一定范围内进行精确调整,有很好的稳定性,有输出指示。

2.1.2信号发生器分类

本小节说明信号发生器的分类。

根据信号源输出波形的不同,可以划分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。

下面对这四类信号发生器进行简要介绍:

正弦信号是使用最广泛的测试信号。

这是因为产生正弦信号的方法比较简单,而且用正弦信号测量比较方便。

正弦信号发生器。

主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。

正弦信号源又可以根据工作频率范围的不同划分为若干种,按其不同性能和用途还可细分为低频(20赫兹至10兆赫)信号发生器、高频(100千赫至300兆赫)信号发生器、微波信号发生器、扫频和程控信号发生器、频率合成式信号发生器等。

脉冲信号发生器。

能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。

函数(波形)信号发生器。

能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫。

除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。

随机信号发生器。

通常又分为噪声信号发生器和伪随机信号发生器两类。

噪声信号发生器主要用途为:

在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统性能;外加一个已知噪声信号与系统内部噪声比较以测定噪声系数;用随机信号代替正弦或脉冲信号,以测定系统动态特性等。

当用噪声信号进行相关函数测量时,若平均测量时间不够长,会出现统计性误差,可用伪随机信号来解决。

2.1.3信号发生器原理

本小节对比了基于FPGA的信号发生器与其它传统方案的原理,说明了采用FPGA技术的合理性和可行性。

(1)用分立元件组成的函数发生器:

产生的波形受到电路硬件的限制,通常是单函数发生器且频率不高,其工作不很稳定,不易调试,体积也相对较大,达不到本课题能产生正弦波、三角波和方波等多种波形的要求。

(2)由晶体管、运放IC等通用器件制作的信号发生器:

这类器件更多的则是用专门的函数信号发生器IC产生信号,早期的函数信号发生器IC,如L8038、BA205、XR2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。

(3)利用单片集成芯片的函数发生器:

能产生多种波形,达到较高的频率,且易于调试。

鉴于此,美国美信公司开发了新一代函数信号发生器ICMAX038,它克服了第2种方法中芯片的缺点,可以达到更高的技术指标,是上述芯片望尘莫及的。

MAX038频率高、精度好,因此它被称为高频精密函数信号发生器IC。

在锁相环、压控振荡器、频率合成器、脉宽调制器等电路的设计上,MAX038都是优选的器件,但是在本课题当中,要求产生低频信号而不是高频信号,且其过高的技术指标以及由此带来的高成本也不适用于本次课题研究。

(4)基于FPGA的信号发生器:

现场可编程门阵列器件具有容量大、运算速度快、现场可编程等优点,使得信号发生器有了新的实现途径。

FPGA配合相应外围器件实现的多波形信号发生器电路结构简单、易于扩展,具有极大的灵活性和方便性。

实现的多波形信号发生器可以产生正弦波、三角波、锯齿波和方波等信号,输出信号频率在0Hz—2MHz范围内可调,而且可以轻易通过程序实现频率步进以及波形调整。

综上所述,本课题采用基于FPGA的信号发生器来实现。

2.2信号发生器实现方法及方案

2.2.1直接数字频率合成方法

本课题已确定采用基于FPGA为硬件基础实现信号发生器,本小节将通过论证直接数字频率合成(DDS)的方法来说明以DDS作为波形产生原理,来生成本此课题要求的正弦波、方波、三角波的可行性。

一般的信号源设计都采用频率合成技术,传统上采用锁相环(PLL)电路进行设计,随着直接数字频率合成(DDS)技术的发展,很多芯片公司都开发出了自己的DDS专用集成芯片,同D/A转换器和低通滤波器(LPF)一起便可以组成任意波形信号的发生器[3-4]。

近年来现场可编程门阵列(FPGA)技术得到了迅速的发展和广泛的应用,其资源容量、工作频率以及集成度都得到了极大的提高,使得利用FPGA实现某些专用的数字集成电路得到了大家的关注[5]。

基于FPGA实现的直接数字频率合成器则更具其优点,有着灵活的接口和控制方式、较短的转换时间、较宽的带宽以及相位连续变化和频率分辨率较高等优点,其也为设计者在此基础之上实现电路集成提供了另一种方法。

直接数字频率合成(DirectDigitalFrequencySynthesis,即DDFS,一般简称DDS)是从相位概念出发,直接合成所需要波形的一种新颖的频率合成技术[6]。

以正弦波信号发生器为例,利用DDS技术可以根据要求产生不同频率的正弦波,而且可以控制其初始相位和信号幅度,同样也可以利用DDS技术产生任意的波形。

其原理如图2-1所示:

图2-1基于FPGA的DDS信号发生器原理图

DDS电路一般包括系统时钟、相位累加器、相位调制器、ROM查找表、D/A转换器和低通滤波器(LPF)。

输入的频率控制字(X)称为相位步进量,作为相位累加器的增量;输入的相位控制字通过相位调制器来设置正弦波的初始相位;系统时钟则对相位累加器、相位调制器和D/A转换器提供相应的时序控制[7-8]。

相位累加器由N位全加器和N位累加寄存器级联而成,对频率控制字的二进制码进行累加运算,是典型的反馈电路。

在每个系统时钟沿的控制下,N位加法器将频

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2