基于FPGA的函数信号发生器开题报告docx.docx

上传人:b****6 文档编号:12778425 上传时间:2023-06-08 格式:DOCX 页数:13 大小:204.16KB
下载 相关 举报
基于FPGA的函数信号发生器开题报告docx.docx_第1页
第1页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第2页
第2页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第3页
第3页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第4页
第4页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第5页
第5页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第6页
第6页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第7页
第7页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第8页
第8页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第9页
第9页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第10页
第10页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第11页
第11页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第12页
第12页 / 共13页
基于FPGA的函数信号发生器开题报告docx.docx_第13页
第13页 / 共13页
亲,该文档总共13页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA的函数信号发生器开题报告docx.docx

《基于FPGA的函数信号发生器开题报告docx.docx》由会员分享,可在线阅读,更多相关《基于FPGA的函数信号发生器开题报告docx.docx(13页珍藏版)》请在冰点文库上搜索。

基于FPGA的函数信号发生器开题报告docx.docx

基于FPGA的函数信号发生器开题报告docx

基于FPGA的函数信号发生器

一、课题来源、目的、意义

函数信号发生器是广泛应用于系统检测调试、自动测昴控制和教学实验等领域的多波形信号源,它可以产生正弦波、三角波、锯齿波、方波等多种波形,山于其输出的波形均可用数学函数描述,故命名为函数信号发生器。

函数信号发生器在工业生产、产晶开发、科学硏究等实验测试中起着十分重要的作用,除供通信、仪表和自动控制系统测试用外,还广泛用于生物医学等各个领域的测试

随着电子技术的不断发展与进步,现代的电子测量、通信系统越来越需耍有高精度和灵活的信号发生器进行测量和调试。

原有的信号发生器的性能C经难以满足现在的耍求,现在不仅要求能产生标准的波形,I何口要求函数发d器的输出波形质量好,输出频率范围宽,频率转换速度快并U频率转换吋波形的相位需要连续。

为了适应现代电子技术的发展和市场要求,研究制作高性能的函数信号发生器则具有重大的意义。

虽然现在各大芯片制造商都推出了采用先进CMOS工艺生产的高性能专用直接数字频率合成(DDS)芯片,为电路设计者提供了多种选择,但专用的DDS芯片的局限性在于其价格昂贵,不易扩展。

目前,大规模可编程逻辑器件(PLD)得到越来越广泛的应用,其强大的功能也逐步从各种器件中显露出來。

如今的可编程器件在其白身功能愈加强大的同时,更使系统趋于小型化,高集成度和高可靠性。

与此同时,器件所具有的静态可重复编程和动态在系统重构的特性,使得系统设计周期大大缩短,降低了设计费用和设计风险,极大的提高了电子系统设计的灵活性和通用性。

其中现场可编程门阵列(FPGA)编程灵活!

应用范围广,而口逻辑功能较复杂的小型系统可以在一•片FPGA屮实现。

山于FPGA实现DDS技术在一些方面存在着DDS芯片不能取代的优势,并H可以实现多个DDS芯片的功能,除了能满足用户对特殊功能的要求外,还可以在器件选择上有更大的选择余地,所以本文提出基于FPGA实现采用直接数字频率合成技术实现可编程函数信号发生器的实现方案,并给出了详细的设计方法。

本课题的意义在于将FPGA可编程的特性与直接数字频率合成(DDS)技术精确和快速的特性有机地结合起來,既实现了函数信号发生器的灵活配置,减小体积,有效地降低开发的成本,又町以实现函数信号发生器的输出频率、相位和幅度在数字处理器的控制下精确而快速地变换。

在我国,高精度的标准信号源产品较少并口产品落后,可靠性较差,并口研究起步较晚,与国外发达国家比较水平差距比较大,所以现在研究基于直接数字频率合成技术与FPGA相结合的函数发生器并II研制出相关的产品将对我国国防、科研、教育起到深远的意义°

二、国内外基本研究情况

函数信号发生器按工作原理可分为:

调谐信号发生器、锁相信号发生器和合成信号发生器。

早期的调谐信号发生器采用的是模拟电子技术,山调谐振荡器和调幅放大器加上一•些指示电路构成,仅能产生止弦波、三角波、方波等儿种简甲的波形,其电路结构复杂、尺寸大且功耗大,并且频率不高,曲于模拟电路温漂大血使得其波形稳定性差,且难以产生精准的频率信号,不易调试。

锁相涪号发生器是山调谐振荡器通过锁相的方法获得输出信号频率的信号发生器。

这种信号发生器频率精度和稳沱度高,但快速切换频率比较困难,同时输出信号的频率分辨率较差。

合成信号发生器曲用三种方法进行频率的合成。

第一•种为直接频率合成,是利用单个或多个不同频率的晶体管振荡器作为基准信号源,经过倍频、分频、混频等途径直接产生许多离散频率的输出信号。

第二种是锁相频率合成器,是基于锁相环的同步原理,山数字鉴相器、分频器加模拟环路滤波和圧控振荡器间接产生所需频率输出的一种技术。

第三种为冇接数字频率合成技术,是i种基于全数字技术,从相位概念出发直接合成所需波形的一-种频率合成技术,不仅可以产生不同频率的正弦波,ifuKW以控制波形的初始相位,并能以此方法产生任意波形。

随着数字集成电路和微电子技术的发展,现代的函数发生器尽可能多的采用直接数字频率合成(DDS)的方法产生所需耍的波形。

直接数字频率合成是曲J.Tierney和C.M.Rader于1971年提出的技术,英主要优点是它的输出频率、柑位和幅度能够在数字处理器的控制下精确而快速地变换,并口相位变化连续,易于集成和调整。

采用DDS技术的函数信号发生器山于灵活而成为软件无线电理想的信号发生器,\hiRM來处理信号的数字电路不会因为热飘移、老化和元件的变化而受影响,支持快速频率切换,是函数信号发生器发展的方向。

基于DDS的函数发生器现在不仅可以执行函数发生器的功能,还可以执行任意函数发生器(ArbitraryFunctionGenerator)的功能,这将给传统测试方案带来一次革命。

在当前数字领域中,大多数新型函数发生器止釆用DDS这一种新技术,如美国的Anient、Tektronix、Keithley,述有台湾的固纬等都在积极采用这一技术制造新式的函数信号发生器。

例illAgilent的33220A,它能产生17种波形,最高频率可达到20MIIZ,分辨率为1uHz,并且能够通过USB、LAN和GPTB进行配置,波形形成的操作性很好。

目前我国研制的函数信号发生器取得了一定的成果,但总的來说,我国函数信号发生器还没有形成真止的产业,并且研制的函数信号发生器的性能和国外器件相比较而言性能差距述比较大。

就目前国内的成熟产品来看很少,并且我国目前在函数信号发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制是十分必要的。

三、预计达到目标

掌握采用可编程逻辑器件实现数字电路与系统的方法,熟悉并掌握采用X订inx_ISE软件开发可编程器件的过程,利用Xilinx公司的Spartan-3EFPGA芯片设计一个函数信号发生器°能输出止弦波、矩形波、三角波、锯齿波等。

输出信号的频率、幅度等均可调°

四、关键理论和技术

1.FPGA的技术特征及特点

FPGA是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC)领域中的一种半定制电路血出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA具备一下特点:

i.釆用FPGA设计ASIC电路(专用集成电路),用八不需要投片生产,就能得到合用的芯片。

i.FPGA可做其它全定制或半定制ASIC电路的中试样片。

iii.FPGA内部有丰富的触发器和I/O引脚。

iv.FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

v.FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA是宙存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。

用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。

掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。

FPGA的编程尢须专用的FPGA编程器,只须用通用的EPROM.PROM编程器即可。

当需要修改FPGA功能时,只需换一片EPROM即可。

这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。

因此,FPGA的使用非常灵活。

2.DDS的工作原理

DDS是从相位概念出发,山不同的相位给出不同的电压幅度,最后滤波、平滑输出所需要的频率,其实质就是利用采样定理以参考频率源对相位进行等可控间隔采样。

DDS-般山四部分纽•成:

相位累加器(PA)、相位一-幅度转换表(ROM)、数字一模拟转换器(DAC)以及低通滤波器(LPF)o其工作原理框图如图1所示。

幅度调制

图1DDS原理框图

DDS的工作过程为:

频率控制字在每个时钟周期累加一次,得到的柑位值被送到ROM中対其进行查农,ROM将相位值转换为与Z对应的幅度值,该数字化的幅度值序列经数模转换和低通滤波后得到所需的输出频率。

DDS主要山相位累加器、波形存储器、数模转换器DAC以及低通滤波器LPF组成。

i.相位累加器

相位累加器是用来实现线性数字信号的逐级累加,并且存储其累加结果。

如图2所示,累加器山加法器和相位寄存器级联构成。

图2相位累加器结构

ii・波形存储器

波形存储器用来存储量化后的波形数据,实现了信号从相位序列到幅度序列的转化。

在每个吋钟周期内,用相位累加器输出的序列作为波形存储器収样地址进行波形的相位一幅度转换,则可在给定的时间上输出波形的抽样幅值。

以止弦波为例,寻址深度为W个字的波形存储器相当于将一个完整周期[0,2n]的止弦波离散成2*个相位序列,输出数据位宽度为D位的幅度值则决定了量化的精度,因此波形存储器的容量则为2了xD比特,如图3所示,波形中的每个采样点对应相位圆上的一个相位点。

取样点数

256

16384

16777216

4294967296

图3相位一幅度转换图

ill.数模转换器DAC

数模转换器的作用是将波形存储器输出的幅度序列转换成为电平输出。

山于DAC转换速率的影响,输出信号并不能真止地连续可变,而是阶梯状的模拟信号。

山于DAC的性能有接影响了DDS的输出频谱,所以选择良好的DAC必须考虑儿个主要原则:

位分辨率、变换精度和速度、编码方法、最大参考时钟频率等等。

iv・低通滤波器

低通滤波器是对DAC转换器输出的模拟阶梯信号进行平滑处理,并滤除英中的高频分量,形成平滑的波形输出。

五、完成课题的方案及主要措施

1.FPGA设计的开发流程

一般來说,完整的FPGA设计流程包括电路设计与输入、功能仿真、综合优化、综合后仿真、布局布线、布局布线后仿真、板级仿真与验证、加载配置与在线调试等主要步骤,如图4所示。

电路设计与输入是利用EDA工具的文本或图形编辑器将设计者的设计意图用文本方式(如VIIDL程序)或图形方式(原理图、状态图)表达出来。

完成设计描述后即可通过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。

编译完成后,在综合前即可对所描述的内容进行功能仿真。

功能仿真仅对设计描述的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求,仿真过程不涉及具体器件的硬件特性。

综合优化是指将HDL语言、原理图等设计输入翻译成山与门、或门、非门、RAM、触发器等基本逻辑单元组成的逻辑连接(网表),并根据目标与约束条件优化所生成的逻辑连接,输出标准格式的网表文件,供布局布线器实现°

布局布线

加载配置与在线调试

图4FPGA设计流程

综合后仿真的主要目的是检杳综合器的综合结果是否与设计输入一致,但并不精确,只能估计门延时。

布局布线是根据FPGA厂商提供的软件工具,根据所选芯片的型号,将综合输出的逻辑网农适配到具休的FPGA器件上,合理止确连接各个元件。

布局布线后就进行时序仿真,这种仿真可以全面检查门延时和线延时的信息,还可以检杏设计中是否有竞争与冒险。

山于不同器件的内部延时不一样,不同的布局方案也给时延造成不同的影响,因此在设计处理完成后,对系统冬个模块进行吋序仿真,分析其吋序关系,估计设计的性能,以及检查和消除竞争冒险是非常有必要的。

与前面的仿真相比,这种仿真包含的吋延信息垠为全面、准确,能较好地反映芯片的实际工作情况⑶。

设计开发的最后步骤就是在线调试或者将产生的配直文件通过编程器或下载电缆写到目标芯片中。

2.

系统框图

如图5所示:

•按键输入:

波形选择、频率控制、幅度控制、相位控制。

•Basys2FPGA:

生成要求的波形。

•DAC:

数模转换器,完成数字信号到模拟信号的转换。

•低通滤波器:

过滤高频信号,使输出波形更光滑。

•后级放大电路:

看输出信号情况,如需要,则对信号进行放大。

•输出:

输出端。

下面详细介绍频率合成模块设计

频率合成模块包含三个基本模块:

相位累加模块、波形发生模块和波形选择模块

i.相位累加模块

相位累加模块山一-个带异步清零的32位加法器和一•个32位的D触发器级联而成。

加法器的偷入数据位数均为32位,在每个系统吋钟的上升沿到来后,加法器模块将频率控制字与累加器内的数据相加,将得到的结果反馈到相位累加器的偷入端,作为下次相加的输入,如果在相加过程中异步清零端口有效的话,累加器的偷出值将为零。

ii.波形发生模块

A.正弦波査找表模块

止弦波采用查找衣的方法来实现,在查找衣ROM中所存的数据是每一个相位所对应的二进制数字止弦幅值。

根据设计,截収相位累加器的高15位作为ROM寻址的位数,最后输出14位的幅值序列作为该相位对应的二进制值。

止弦杳找表模块分为三个部分:

杳找前地址变换模块、杳找表、杏找后幅度变换模块。

B.三角波发生模块

它和正弦波发生模块一样,也是山查找前地址转换、ROM查找表、查找后幅度转换器纽•成。

三角波的发生模块和止弦波发生模块的基本思想是一样的,同样基于三角波的对称特性只存储0—h/2的波形数据。

C.锯齿波发生模块

锯齿波的波形是一个单调递增的循环累加过程,而相位累加器的输出同样也是单调递增的循环累加过程,因此町以不用杳找农的方法而直接将相位累加器的高14位作为锯齿波的幅度值输出。

D.方波发生模块

方波发生模块频率利用相位累加器的溢出來产生,当相位累加器的最高位为1时,输出全为1;当相位累加器的最高位为0吋,则输出也全为0,这样方波的频率即为相位累加器的溢出频率。

iii.波形选择模块

波形选择模块主要完成山波形选择按键送來对应的值选择和对应的波形输出。

3・系统软件流程

系统软件流程图如图6所示,功能选择包括:

波形选择、频率调整,幅度调整。

波形选择功能包括:

止弦波、三角波、锯齿波、方波。

频率调整功能:

控制输入频率。

幅度调整功能:

控制输入幅度。

 

幅度调整

频率调整

输入幅度

输入频率

功能选择

锯齿波

波形选择

止弦波

 

 

信号发送

图6系统软件流程

六、主要研究紧张计划

0——1周文献翻译,杳阅资料

2——5周杏阅资料,方案设计、开题

5——9周方案论证、实施

13周分部分调试

13——16周撰写论文、答辩

七、主要参考文献

[1]古天祥,王厚军等.电子测杲原理[M]•北京:

机械工业出版社,2004

[2]李希文等.电子测量技术[M].西安:

西安电子科技大学出版社,2008

[3]柴志勇.基于FPGA的町编程函数信号发生器设计.西南石油大学,2010

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 生产经营管理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2