实验三7段数码管.docx

上传人:b****8 文档编号:12943327 上传时间:2023-06-09 格式:DOCX 页数:11 大小:464.60KB
下载 相关 举报
实验三7段数码管.docx_第1页
第1页 / 共11页
实验三7段数码管.docx_第2页
第2页 / 共11页
实验三7段数码管.docx_第3页
第3页 / 共11页
实验三7段数码管.docx_第4页
第4页 / 共11页
实验三7段数码管.docx_第5页
第5页 / 共11页
实验三7段数码管.docx_第6页
第6页 / 共11页
实验三7段数码管.docx_第7页
第7页 / 共11页
实验三7段数码管.docx_第8页
第8页 / 共11页
实验三7段数码管.docx_第9页
第9页 / 共11页
实验三7段数码管.docx_第10页
第10页 / 共11页
实验三7段数码管.docx_第11页
第11页 / 共11页
亲,该文档总共11页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

实验三7段数码管.docx

《实验三7段数码管.docx》由会员分享,可在线阅读,更多相关《实验三7段数码管.docx(11页珍藏版)》请在冰点文库上搜索。

实验三7段数码管.docx

实验三7段数码管

 

本科学生综合性实验报告

 

学号114090523姓名罗朝斌

学院物电学院专业、班级11光电子

实验课程名称电子设计自动化(EDA实验)

教师及职称罗永道副教授

开课学期2013至2014学年下学期

填报时间2014年5月16日

云南师范大学教务处编印

 

一.实验设计方案

实验序号

实验名称

数码管和译码器的设计

实验时间

2014年5月12日

实验室

同析三栋114

1.实验目的

1、熟练掌握qualtus软件的实用。

2、掌握组合逻辑电路的设计方法。

3、掌握中规模集成电路加法器的工作原理及其逻辑功能。

4、掌握驱动共阴极数码管的设计方法,熟悉和练习QuartusⅡ的应用。

2实验原理:

七段数码管由8个(a,b,c,d,e,f,g,dp)按照一定位置排列的发光二极管构成,通常采取共阴极或者共阳极的设计,将8个二极管的同一极接在一起,通过分别控制另外的8个电极的电平,使二极管导通(发光)或截止(不发光)。

七段数码显示译码器的功能就是根据需要显示的字符,输出能够控制七段数码管显示出该字符的编码。

共阴极七段显示码十六进制转换表

3实验设备及材料

电脑一台,QuartusII实验平台,EDA实验箱

4.实验方法步骤及注意事项

实验方法步骤

1、在QuartusII中建立工程文件和相应的vhd文件,在vhd文件中编写描述电路功能的vhdl语言,并保证编译正确。

2、进行波形的仿真以及验证。

注意事项

1、在编写程序时,一定要注意others语句,否则编译出错。

2、在编写程序时,一定要按照步骤进行,文件名和实体名要保持一致,否则将编译错误。

3、在进行波形仿真时,一定要将时序仿真改变成功能仿真。

4、在进行波形仿真时,一定要生成功能仿真网表,否则将不能得到正确的功能仿真波形。

二.实验报告

数码管VHDL程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYsmg1IS

PORT

(d:

INSTD_LOGIC_VECTOR(3DOWNTO0);

x:

OUTSTD_LOGIC_VECTOR(6DOWNTO0));

ENDsmg1;

ARCHITECTUREaOFsmg1IS

BEGIN

WITHdSELECT

x<="1111110"WHEN"0000",

"0110000"WHEN"0001",

"1101101"WHEN"0010",

"1111001"WHEN"0011",

"0110011"WHEN"0100",

"1011011"WHEN"0101",

"1011111"WHEN"0110",

"1110000"WHEN"0111",

"1111111"WHEN"1000",

"1111011"WHEN"1001",

"0000000"WHENOTHERS;

ENDa;

译码器的VHDL程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYymqIS

PORT

d:

INSTD_LOGIC_VECTOR(2DOWNTO0);

x:

OUTSTD_LOGIC_VECTOR(7DOWNTO0)

);

ENDymq;

ARCHITECTUREaOFymqIS

BEGIN

WITHdSELECT

x<="00000001"WHEN"000",

"00000010"WHEN"001",

"00000100"WHEN"010",

"00001000"WHEN"011",

"00010000"WHEN"100",

"00100000"WHEN"101",

"01000000"WHEN"110",

"10000000"WHEN"111",

"00000000"WHENOTHERS;

ENDa;

数码管输入波形如下所示:

数码管输入展开波形如下所示:

数码管输出波形如下所示:

数码管输出波形如下所示:

数码管RTLViewer

译码器RTLViewer

 

数码管

译码器

数码管Symbol

译码器的Symbol

2.对实验现象、实验结果的分析及其结论

1、在程序写好这后,编译,编译通过,在波形仿真中,设置好输入波形的各个值,点击运行波形文件之后显示successful。

2、分别分析数码管和译码器的波形输出文件,结果与预期设想结果一致。

3、由以上结果分析得知,本次数码管和译码器的设计实验是成功的。

教师评语及评分:

 

签名:

年月日

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 解决方案

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2