可编程逻辑设计适合级通信专业.docx

上传人:b****6 文档编号:13589135 上传时间:2023-06-15 格式:DOCX 页数:19 大小:115.05KB
下载 相关 举报
可编程逻辑设计适合级通信专业.docx_第1页
第1页 / 共19页
可编程逻辑设计适合级通信专业.docx_第2页
第2页 / 共19页
可编程逻辑设计适合级通信专业.docx_第3页
第3页 / 共19页
可编程逻辑设计适合级通信专业.docx_第4页
第4页 / 共19页
可编程逻辑设计适合级通信专业.docx_第5页
第5页 / 共19页
可编程逻辑设计适合级通信专业.docx_第6页
第6页 / 共19页
可编程逻辑设计适合级通信专业.docx_第7页
第7页 / 共19页
可编程逻辑设计适合级通信专业.docx_第8页
第8页 / 共19页
可编程逻辑设计适合级通信专业.docx_第9页
第9页 / 共19页
可编程逻辑设计适合级通信专业.docx_第10页
第10页 / 共19页
可编程逻辑设计适合级通信专业.docx_第11页
第11页 / 共19页
可编程逻辑设计适合级通信专业.docx_第12页
第12页 / 共19页
可编程逻辑设计适合级通信专业.docx_第13页
第13页 / 共19页
可编程逻辑设计适合级通信专业.docx_第14页
第14页 / 共19页
可编程逻辑设计适合级通信专业.docx_第15页
第15页 / 共19页
可编程逻辑设计适合级通信专业.docx_第16页
第16页 / 共19页
可编程逻辑设计适合级通信专业.docx_第17页
第17页 / 共19页
可编程逻辑设计适合级通信专业.docx_第18页
第18页 / 共19页
可编程逻辑设计适合级通信专业.docx_第19页
第19页 / 共19页
亲,该文档总共19页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

可编程逻辑设计适合级通信专业.docx

《可编程逻辑设计适合级通信专业.docx》由会员分享,可在线阅读,更多相关《可编程逻辑设计适合级通信专业.docx(19页珍藏版)》请在冰点文库上搜索。

可编程逻辑设计适合级通信专业.docx

可编程逻辑设计适合级通信专业

 

可编程逻辑器件

 

编辑者:

林金阳

目录

实验一基于QUARTUSII1位全加器原理图设计…………………………………3

实验二多路选择器设计……………………………………………………………………7

实验三基本触发器的设计………………………………………………………………10

实验四八位七段数码管动态显示电路的设计……………………………………13

实验五数控分频器的设计………………………………………………………………16

实验十一基于VHDL的表决器的设计……………………………………………………18

 

实验一基于QUARTUSII的全加器的设计

一、实验目的

1、通过一位的全加器的设计,掌握组合逻辑电路的设计方法。

2、初步了解QUARTUSII原理图输入设计的全过程。

3、掌握组合逻辑电路的静态测试方法。

二、实验原理

全加器除考虑两个加数外,还考虑了低位的进位。

输入端有3个,分别为加数、被加数与低位进位;输入端有2个,分别为和与进位。

其真值表如表1-1所示

Ai

Bi

Ci

Si

C

0

0

0

0

0

0

0

1

1

0

0

1

0

1

0

0

1

1

0

1

1

0

0

1

0

1

0

1

0

1

1

1

0

0

1

1

1

1

1

1

表1-11位全加器的真值表

三、实验内容

在本实验中,用三个按键开关来表示1位全加器的三个输入(Ai、Bi、Ci);用二个LED来表示1位全加器的二个输出(Si,C)。

通过输入不同的值来观察输入的结果与1位全加器的真值表(表1-1)是否一致。

该实验箱属于多种复用实验箱,可通过模式选择进行控制,不同的模式,如果同个按键,可能功能不一样,所以每次实验必须先预设模式。

“模式选择键”:

按动该键能使实验板产生12种不同的实验电路结构。

本次实验的模式选择到“6”(红色数码管上显示)。

信号名称

实验箱上对应的元器件

对应FPGA管脚名

Ai

键3

11

Bi

键4

32

Ci

键5

33

表1-2按键开关与FPGA管脚连接表

LED灯与FPGA的接口电路如图1-1所示,当FPGA与其对应的端口为高电平时LED就会发光,反之LED灯灭。

其与FPGA对应的管脚连接如表1-3所示。

 

图1-1LED灯与FPGA接口电路

信号名称

实验箱上对应的元器件

对应FPGA管脚名

Si

LEDD1

39

C

LEDD2

40

表1-3LED灯与FPGA管脚连接表

四、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、在创建好设计工程后,选择File>NEW…菜单,出现图1-9所示的新建设计文件类型选择窗口。

这里我们以建立图形设计文件为例进行说明,其它设计输入方法与之基本相同

 

 

图2-2新建设计文件选择窗口

2)在New对话框(图1-2)中选择DeviceDesignFiles页下的BlockDiagram/SchematicFile,点击OK按钮,打开图形编辑器对话框,如图2-3所示。

图中标明了常用的每个按钮的功能。

 

图1-2原理图编辑窗口

1、按照实验原理和自己的想法,在原理图编辑窗口绘制原理图。

2、编写完原理图后,保存起来。

3、对自己编写的原理图进行编译并仿真,对程序的错误进行修改。

4、编译仿真无误后,依照按键开关、LED与FPGA的管脚连接表(表1-1、表1-2)或参照附录进行管脚分配,表2-1是示例程序的管脚分配表。

分配完成后,再进行全编译一次,以使管脚分配生效。

5、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。

观察实验结果是否与自己的编程思想一致。

五、实验现象与结果

当设计文件加载到目标器件后,按按键开关,LED会按照实验原理中的真值表输入一一对应的亮或者灭。

六、实验报告

1、绘出仿真波形,并作说明。

2、进一步熟悉QUARTUSII软件。

3、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

 

实验二多路选择器的设计

一、实验目的

1、进一步熟悉QUARTUSII软件的使用方法和VHDL输入的全过程。

2、进一步掌握实验系统的使用。

二、实验原理

四选一多路选择器的原理如下图及下表,由Sl,S0来选择d0,dl,d2,d3的信号,并使其能在Q上输出。

S1

S0

Q

0

0

d0

0

1

d1

1

0

d2

1

1

d3

三、实验内容

1、用VHDL语言的不同语句分别描述任务选择器,并通过编译仿真比较不同语句描述的区别。

2、通过仿真下载并通过硬件验证实验结果。

四、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDLFile。

新建一个VHDL文件的过程如下:

1)选择QUARTUSII软件中的File>New命令,出现New对话框。

如图2-2所示。

 

 

图2-1新建设计文件选择窗口

2)在New对话框(图2-1)中选择DeviceDesignFiles页下的VHDLFile,点击OK按钮,打开VHDL编辑器对话框,如图2-2所示。

 

图2-2VHDL编辑窗口

1、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序。

2、编写完VHDL程序后,保存起来。

方法同实验一。

3、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。

4、编译仿真无误后,进行管脚分配,下表是示例程序的管脚分配表。

分配完成后,再进行全编译一次,以使管脚分配生效。

端口名

使用模块信号

对应FPGA管脚

说明

D1

键3

11

四选一选择器输入与输出

D2

键4

32

D3

键5

33

D4

键6

34

S0

键7

35

S1

键8

36

Y

LEDD1

39

表2-1端口管脚分配表

5、“模式选择键”:

按动该键能使实验板产生12种不同的实验电路结构。

本次实验的模式选择到“6”(红色数码管上显示)。

6、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。

观察实验结果是否与自己的编程思想一致。

五、实验现象与结果

当设计文件加载到目标器件后,按键按键开关,LED会按照实验原理中的格雷码输入一一对应的亮或者灭。

六、实验报告

1、绘出仿真波形,并作说明。

2、进一步熟悉QUARTUSII软件。

3、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

 

实验三基本触发器的设计

一、实验目的

1、了解基本触发器的工作原理。

2、进一步熟悉在QuartusII中基于VHDL设计的流程。

二、实验原理

基本触发器的电路如下图3-1所示。

它可以由两个与非门交叉耦合组成,也可

 

图3-1基本触发器电路

以由两个或非门交叉耦合组成。

现在以两个与非门组成的基本触发器为例,来分析其工作原理。

根据与非逻辑关系,可以得到基本触发器的状态转移真值表及简化的真值表,如下表3-1所示:

状态转移真值表

简化真值表

0

1

0

0

0

1

0

0

1

1

0

1

0

1

1

0

0

1

1

1

Qn

1

0

1

1

0

0

不定

1

1

0

0

1

1

1

1

0

0

0

不定

0

0

1

不定

表3-1基本触发器状态转移真值表

根据真值表,不难写出其特征方程:

其中式

(2)为约束条件。

三、实验内容

本实验的任务就是利用QuartusII软件的文本输入,产生一个基本触发器,触发器的形式可以是与非门结构的,也是可以或非门结构的。

实验中用按键模块的用键7和键8来分别表示R和S,用LED模块的LEDD1和LEDD21分别表示Q和

在R和S满足式

(2)的情况下,观察Q和

的变化。

四、实验步骤

1.打开QUARTUSII软件,新建一个工程。

2.建完工程后再新建一个文本输入文件。

3.按照实验原理和自己的想法,输入VHDL语言,进行设计。

4.设计好设计电路程序后,保存起来。

5.对自己编写的设计程序进行编译并仿真,对程序的错误进行修改。

6.编译仿真无误后,依照按键开关、LED与FPGA的管脚连接表或参照附录进行管脚分配。

表3-2是示例程序的管脚分配表。

分配完成后,再进行全编译一次,以使管脚分配生效。

端口名

使用模块信号

对应FPGA管脚

说明

NR

键7

107

NS

键8

108

Q

LED灯D1

73

NQ

LED灯D2

74

表3-2端口管脚分配表

7.“模式选择键”:

按动该键能使实验板产生12种不同的实验电路结构。

本次实验的模式选择到“1”(红色数码管上显示)。

8.用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。

观察实验结果是否与自己的编程思想一致。

五、实验现象与结果

当设计文件加载到目标器件后,按下相应的按键(即R、S),则通过LED灯上的亮和灭来显示这个触发器的输入结果。

将输入与输出和表3-1基本触发器状态转移真值表进行比较,看是否一致。

六、实验报告

1、绘出不同R、S值的仿真波形,并作说明。

2、试设计一个其它的功能触发器如D触发器、JK触发器等

3、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

 

实验四八位七段数码管动态显示电路的设计

一、实验目的

1、了解数码管的工作原理。

2、学习七段数码管显示译码器的设计。

3、学习计数器的编程方法。

4、学习VHDL的CASE语句及多层次设计方法。

二、实验原理

图3-1所示的是8位数码扫描显示电路,其中每个数码管的8个段:

h、g、f、e、d、c、b、a(h是小数点)都分别连在一起,8个数码管分别由8个选通信号k1、k2、…k8来选择。

被选通的数码管显示数据,其余关闭。

如在某一时刻,k3为高电平,其余选通信号为低电平,这时仅k3对应的数码管显示来自段信号端的数据,而其它7个数码管呈现关闭状态。

根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1、k2、…k8分别被单独选通,并在此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。

 

图4-18位数码扫描显示电路

三、实验内容

本实验要求完成一个二十进制的计数器,并且通过数码管进行动态显示。

在实验中时,选择系统时钟作为输入时钟(clk),,用两个按键输入,当键3高电平,进行复位,当键3低电平,键4高电平时,进行时能计数,所计的数在数码管上进行显示。

图4-2数字时钟信号模块电路原理

端口名

使用模块信号

对应FPGA管脚名

说明

Clk

Clkock0

93

系统时钟

RST

键3

11

时钟复位

EN

键4

32

使能端

7SEG-A

7SEG-A

108

七段码管A段输入信号

7SEG-B

7SEG-B

107

七段码管B段输入信号

7SEG-C

7SEG-C

106

七段码管C段输入信号

7SEG-D

7SEG-D

105

七段码管D段输入信号

7SEG-E

7SEG-E

103

七段码管E段输入信号

7SEG-F

7SEG-F

99

七段码管F段输入信号

7SEG-G

7SEG-G

98

七段码管G段输入信号

Bt0

Bt0

96

第一个数码管位选信号

Bt1

Bt1

85

第二个数码管位选信号

Bt2

Bt2

84

第三个数码管位选信号

Bt3

Bt3

83

第四个数码管位选信号

Bt4

Bt4

78

第五个数码管位选信号

Bt5

Bt5

77

第六个数码管位选信号

Bt6

Bt6

76

第七个数码管位选信号

Bt7

Bt7

75

第八个数码管位选信号

表4-1数码管与FPGA的管脚连接表

四、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDLFile,打开VHDL编辑器对话框。

3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序。

4、编写完VHDL程序后,保存起来。

方法同实验一。

5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。

6、编译仿真无误后,进行管脚分配。

表4-1是示例程序的管脚分配表。

分配完成后,再进行全编译一次,以使管脚分配生效。

7、“模式选择键”:

按动该键能使实验板产生12种不同的实验电路结构。

本次实验的模式选择到“6”(红色数码管上显示)。

8、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。

观察实验结果是否与自己的编程思想一致。

五、实验现象与结果

当设计文件加载到目标器件后,将数字信号源模块的时钟选择为24MHZ,通过按键控制,进行计数,则数码管显示所计数的值。

六、实验报告

1、绘出仿真波形,并作说明。

2、明扫描时钟是如何工作的,改变扫描时钟会有什么变化。

3、实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

 

实验五数控分频器的设计

一、实验目的

1、学习数控分频器的设计、分析和测试方法。

2、了解和掌握分频电路实现的方法。

3、掌握EDA技术的层次化设计方法。

二、实验原理

数控分频器的功能就是当输入端给定不同的输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器来设计完成的,方法是将计数溢出位与预置数加载输入信号相接得到。

三、实验内容

本实验要求完成的任务是在时钟信号的作用下,通过输入八位的按键开关输入不同的数据,改变分频比,使输出端口输出不同频率的时钟信号,过到数控分频的效果。

在实验中时,数字时钟选择10KHZ作为输入的时钟信号(频率过高观察不到LED的闪烁快慢),用八个按键开关做为数据的输入,当八个按键开关置为一个二进制数时,在输出端口输出对应频率的时钟信号,用户可以用示波器接信号输出模块观察频率的变化。

也可以使输出端口接LED灯来观察频率的变化。

在此实验中我们把输出接入LED灯模块。

四、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDLFile,打开VHDL编辑器对话框。

3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序。

4、编写完VHDL程序后,保存起来。

方法同实验一。

5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。

6、编译仿真无误后,依照按键开关、LED与FPGA的管脚连接表(表1-1、表1-2)或参照附录进行管脚分配。

表5-1是示例程序的管脚分配表。

分配完成后,再进行全编译一次,以使管脚分配生效。

端口名

使用模块信号

对应FPGA管脚

说明

INCLK

CLOCK2

17

时钟为10KHZ

DATA0

键1

1

分频比数据

DATA1

键2

2

DATA2

键3

3

DATA3

键4

4

DATA4

键5

5

DATA5

键6

6

DATA6

键7

7

DATA7

键8

10

FOUT

LED灯D1

11

分频输出

FOUT1

PIO48

107

波形输出观测

表5-1端口管脚分配表

7、“模式选择键”:

按动该键能使实验板产生12种不同的实验电路结构。

本次实验的模式选择到“5”(红色数码管上显示)。

8、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。

观察实验结果是否与自己的编程思想一致。

五、实验现象与结果

当设计文件加载到目标器件后,将数字信号源模块的时钟选择为10KHZ,按八位按键开关,使其为一个数值,则输入的时钟信号使LED灯开始闪烁,改变按键开关,LED的闪烁快慢会按一定的规则发生改变。

用示波器观测FPGA输入输出模块可以看到波形会随八位按键开关的改变而变化。

六、实验报告

1、输入不同的DATA值绘出仿真波形,并作说明。

2、在这个程序的基础上扩展成16位的分频器,写出VHDL代码。

3、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

实验六基于VHDL的表决器的设计

一、实验目的

1、熟悉VHDL的编程。

2、熟悉七人表决器的工作原理。

3、进一步了解实验系统的硬件结构。

二、实验原理

所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。

七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。

实验中用7个按键开关来表示七个人,当对应的按键开关输入为‘1’时,表示此人同意;否则若按键开关输入为‘0’,则表示此人反对。

表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。

同时,数码管上显示通过的票数。

三、实验内容

本实验就是利用实验系统中的按键开关模块和LED模块以及数码管模块来实现一个简单的七人表决器的功能。

按键开关模块中的键1~键7表示七个人,当按键开关输入为‘1’时,表示对应的人投同意票,否则当按键开关输入为‘0’时,表示对应的人投反对票;LED模块中D1表示七人表决的结果,当LED1点亮时,表示此行为通过表决;否则当LED1熄灭时,表示此行为未通过表决。

同时通过的票数在数码管上显示出来。

四、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDLFile,打开VHDL编辑器对话框。

3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序。

编写完VHDL程序后,保存起来。

对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。

4、编译仿真无误后,依照按键开关、LED、数码管与FPGA的管脚连接表或参照附录进行管脚分配。

表6-1是示例程序的管脚分配表。

分配完成后,再进行全编译一次,以使管脚分配生效。

端口名

使用模块信号

对应FPGA管脚

说明

K1

键1

1

七位投票人

的表决器

K2

键2

2

K3

键3

3

K4

键4

4

K5

键5

5

K6

键6

6

K7

键7

7

m_Result

LED模块D1

E9

表决结果亮为通过

LEDAG0

数码管模块1

39

表决通过的票数

LEDAG1

数码管模块1

40

LEDAG2

数码管模块1

41

LEDAG3

数码管模块1

42

表6-1端口管脚分配表

5、“模式选择键”:

按动该键能使实验板产生12种不同的实验电路结构。

本次实验的模式选择到“5”(红色数码管上显示)。

6、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。

观察实验结果是否与自己的编程思想一致

五、实验结果与现象

当设计文件加载到目标器件后,按实验系统中按键开关模块的键1-键7七位按键开关,如果按键开关的值为“1”(即按键开关的开关置于上端,表示此人通过表决)的个数大于或等于四时LED模块的D1被点亮,否则D1不被点亮。

同时数码管上显示通过表决的人数。

六、实验报告

1、绘出仿真波形,并作说明。

2、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

3、试在此实验的基础上增加一个表决的时间,只的在这一时间内的表决结果有效。

 

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2