电子设计自动化实验指导书10.docx

上传人:b****2 文档编号:13920743 上传时间:2023-06-19 格式:DOCX 页数:34 大小:1.41MB
下载 相关 举报
电子设计自动化实验指导书10.docx_第1页
第1页 / 共34页
电子设计自动化实验指导书10.docx_第2页
第2页 / 共34页
电子设计自动化实验指导书10.docx_第3页
第3页 / 共34页
电子设计自动化实验指导书10.docx_第4页
第4页 / 共34页
电子设计自动化实验指导书10.docx_第5页
第5页 / 共34页
电子设计自动化实验指导书10.docx_第6页
第6页 / 共34页
电子设计自动化实验指导书10.docx_第7页
第7页 / 共34页
电子设计自动化实验指导书10.docx_第8页
第8页 / 共34页
电子设计自动化实验指导书10.docx_第9页
第9页 / 共34页
电子设计自动化实验指导书10.docx_第10页
第10页 / 共34页
电子设计自动化实验指导书10.docx_第11页
第11页 / 共34页
电子设计自动化实验指导书10.docx_第12页
第12页 / 共34页
电子设计自动化实验指导书10.docx_第13页
第13页 / 共34页
电子设计自动化实验指导书10.docx_第14页
第14页 / 共34页
电子设计自动化实验指导书10.docx_第15页
第15页 / 共34页
电子设计自动化实验指导书10.docx_第16页
第16页 / 共34页
电子设计自动化实验指导书10.docx_第17页
第17页 / 共34页
电子设计自动化实验指导书10.docx_第18页
第18页 / 共34页
电子设计自动化实验指导书10.docx_第19页
第19页 / 共34页
电子设计自动化实验指导书10.docx_第20页
第20页 / 共34页
亲,该文档总共34页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

电子设计自动化实验指导书10.docx

《电子设计自动化实验指导书10.docx》由会员分享,可在线阅读,更多相关《电子设计自动化实验指导书10.docx(34页珍藏版)》请在冰点文库上搜索。

电子设计自动化实验指导书10.docx

电子设计自动化实验指导书10

电子设计自动化实验指导书10

 

实验一EDA环境基本操作

一、实验目的

l、认识并熟悉电子设计自动化的软件环境。

2、了解常用EDA软件的运行方法及界面菜单窗口等内容。

二.实验原理

电子设计已经倾向于使用基于电子计算机的自动化设计工具。

三.实验内容

认识和实际操作各种电子设计自动化软件。

四.实验步骤

1、进入windows操作系统,找到ElectronicsWorkbench程序组并打开Multisim7。

(1)依次点击“开始”—>“程序”—>“ElectronicsWorkbench”—>“Multisim7”;或直接点击桌面上“Multisim7”图标,可打开Multisim7。

(2)打开Multisim7后可以看到如下界面,熟悉图中标示的各要素。

(3)尝试将课本第10页图2.3原理图输入。

2、进入windows操作系统,找到LabcenterElectronics程序组并打开Proteus7.2。

(1)依次点击“开始”—>“程序”—>“LabcenterElectronics”—>“Proteus7.2”;或直接点击桌面上“Proteus7”图标,可打开Proteus7.2。

(2)打开Proteus后可以看到如下界面,熟悉图中标示的各要素。

3、进入windows操作系统,找到Altera程序组并打开QuartusII7.0。

(1)依次点击“开始”—>“程序”—>“Altera”—>“QuartusII7.0”;或直接点击桌面上“QuartusII7.0”图标,可打开QuartusII7.0。

(2)打开QuartusII7.0后可以看到如下界面,熟悉软件的各部分。

 

4、进入windows操作系统,找到Altium程序组并打开DXP2004。

(1)依次点击“开始”—>“程序”—>“Altium”—>“DXP2004”;或直接点击桌面上“DXP2004”图标,可打开DXP2004。

(2)打开DXP2004后可以看到如下界面,熟悉软件的各部分。

 

5、进入windows操作系统,找到ELANIX程序组并打开SYSTEMVIEW。

(1)依次点击“开始”—>“程序”—>“ELANIX”—>“SYSTEMVIEW”;或直接点击桌面上“SYSTEMVIEW”图标,可打开SYSTEMVIEW。

(2)打开SYSTEMVIEW后可以看到如下界面,熟悉图中标示的各要素。

 

五、实验报告要求

1.写出详细实验步骤;

2.谈谈对各电子设计自动化软件的认识,各软件的作用。

实验二Multisim环境下的信号放大仿真实验

一.实验目的

1、复习巩固三极管小信号放大电路。

2、掌握Multisim环境中模拟电路设计的方法。

3、掌握Multisim环境中模拟电路仿真方法。

二.实验原理

利用Windows操作系统环境下的Multisim7软件平台进行电路仿真。

三、实验内容

设计一个三极管小信号放大电路并仿真。

四、实验步骤

1 静态工作点的测试与调整

  仿真电路如图2所示,依次调节Rw的百分比,记录各电压、电流表的值,对应填入表1中,并计算IC/IB值。

  可以得出结论:

  

(1)调节RW可改变UB电位,因而改变了三极管IB,…,UBE的大小。

不同的工作状态,电流放大倍数β≦IC/IB不相等。

 

 

  

(2)在三极管的放大区(RW取10%,15%,20%时)IC/IB值较大;而在截止区或饱和区IC/IB值较小,且在饱和区(RW取0%,1%)UCE值接近0,在截止区(RW取95%,100%)UCE值接近直流电源的电压,甚至等于直流电源的电压。

2 测试电压放大倍数

  仿真电路如图3所示,设置信号源输入信号的幅度为US=100mV,频率为1000Hz。

用示波器测量输入、输出波形如图4所示,此时输出端波形不失真。

按表2所列测试条件测试Ui,Uo的值,并计算K=Uo/Ui。

  仿真结果得出结论:

当三极管放大电路的元件参数不改变时,电路的电压放大倍数基本不变。

 

 

 

3 静态工作点对输出波形的影响

  删除图3的数字万用表XMM1,XMM2,在三极管T的集电极串联数字万用表XMM1,测量IC;在三极管T的C极与地之间并联数字万用表XMM2,测量UC。

设置US=100mV,f=1000Hz,调节RW分别为3%,10%,70%,仿真波形如图5所示,分别为饱和失真、不失真放大、截止失真。

把IC,UC读数填入表3。

 

  仿真结果得出结论:

改变基极偏置电阻RW,静态工作点电流IC,电压UC随之变化,从而导致三极管工作区域变化。

偏置电阻RW、电流IC、电压UC各值适中,三极管工作在放大区;若偏置电阻RW小,电流IC过大,电压UC偏小,三极管工作在饱和区;反之,三极管工作在截止区。

4 测量输入电阻

  把图3的示波器和XMM2删除,保留XMM1,并在R1与C1之间串联一个数字万用表,测量Ii,XMM1测量Ui。

调节RW为70%,打开仿真开关,测得输入电压Ui=63.444mV,输入电流Ii=0.007mA,计算Ri=Ui/Ii=63.444/0.007=9.06kΩ。

  结论:

共射放大电路的输入电阻较大。

  

5 测量输出电阻

  把图3的示波器和XMM1删除,同时也删除信号源,用导线短接,再删除RL和J1,用信号源代替RL。

信号源设置为US=1V,f=1000Hz,在C2的负端串联一个数字万用表,测量IO,数字万用表XMM2测量UO。

调节RW为70%,打开仿真开关,测得输出电压UO=707mV,输出电流IO=0.296mA,计算RO=UO/IO=707.107/0.296=2.39kΩ。

  结论:

共射放大电路的输出电阻也较大。

6 测试幅频特性

  把图3中的示波器、XMM1及XMM2删除,将波特图仪中的In+接到电路的输入端,Out+接到电路的输出端,In-和Out-接地,打开仿真开关,用鼠标双击波特图仪,得如图6所示的幅频特性。

测出上限频率fH=2.291MHz,下限频率fL=57.544Hz。

  结论:

共射放大电路的通频带较宽。

  

五、实验报告要求

1.写出详细实验步骤;

2.画出电路原理图;

3.记录实验数据及结果。

 

实验三PROTEUS环境下单片机控制LED仿真实验

一.实验目的

1、了解单片机工作原理;

2、掌握单片机程序的编写;

3、掌握PROTEUS环境下原理图的绘制及电路的仿真。

二.实验原理

利用Windows操作系统环境下的PROTEUS软件平台进行电路设计和仿真。

三、实验内容

在PROTEUS软件平台上设计单片机控制的LED灯设计和仿真。

四.实验步骤

1.打开PROTEUS仿真环境,在PROTEUS仿真环境中调入单片机、电阻器、LED,按键或拨码开关等器件,对元器件参数进行相应设置,并进行连线等工作,完成LED显示控制电路原理图的绘制,如图3.1。

 

2.在PROTEUS自带的程序编辑器或第三方程序编辑器KEIL中编写控制程序,ASM代码如下:

org0000h

ajmpmain

org0050h

main:

movp1,p0

acalldel

ajmpmain

del:

movr7,#03h

del2:

djnzr7,del2

ret

end

3.将控制程序编译为可执行代码,并在PROTEUS原理图对应单片机的设置中调入代码。

4.执行仿真,观察硬件连接和软件程序是否满足设计要求,若不满足,修改设计并调试,调试时使用各种虚拟仪器进行辅助。

五、实验报告要求

1.写出详细实验步骤;

2.画出电路原理图;

3.叙述你在PROTEUS环境中进行单片机仿真的心得体会。

 

实验四QuartusII环境下数控分频器的设计

一.实验目的

1、学习数控分频器的设计、分析和测试方法。

2、了解和掌握分频电路实现的方法。

3、掌握EDA技术的层次化设计方法。

二.实验原理

利用Windows操作系统下的QuartusII软件平台进行分频器设计和仿真。

三、实验内容

本实验要求完成的任务是在时钟信号的作用下,通过输入八位的拨动开关输入不同的数据,改变分频比,使输出端口输出不同频率的时钟信号,达到数控分频的效果。

在实验中时,数字时钟选择1KHZ作为输入的时钟信号(频率过高观察不到LED的闪烁快慢),用八个拨动开关作为数据的输入,当八个拨动开关置为一个二进制数时,在输出端口输出对应频率的时钟信号,用户可以用示波器接信号输出模块观察频率的变化。

也可以使输出端口接LED灯来观察频率的变化。

在此实验中我们把输入接入LED灯模块。

四.实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDLFile,打开VHDL编辑器对话框。

3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序(可参考示例程序),编写完VHDL程序后,保存起来。

4、对自己编写的VHDL程序进行编译,对程序的错误进行修改。

编译方法如下:

QUARTUSII编译器窗口包含了对设计文件处理的全过程。

在QUARTUSII软件中选择Processing>CompilerTool菜单项,则出现QUARTUSII的编译器窗口,如图4-1所示,图中标明了全编译过程各个模块的功能。

 

图4-1QUARTUSII编译器窗口

需要说明的是在进行设计文件的综合和分析,也可以单独打开某个分析综合过程不必进行全编译界面。

当完成上述窗口的设定后,点击START按钮进行设计文件的全编译。

如果文件有错,在软件的下方则会提示错误的原因和位置,以便于使用者进行修改直到设计文件无错。

整个编译完成,软件会提示编译成功,如图4-2所示。

 

图4-2全编译成功界面

5、对自己编写的VHDL程序进行仿真。

1)创建一个仿真波形文件,选择QUARTUSII软件File>New,进行新建文件对话框。

如图4-3所示。

选取对话框的OtherFile标签页,从中选取VectorWaveformFile,点击OK按钮,则打开了一个空的波形编辑器窗口,如图4-4所示。

 

图4-3新建文件对话框图4-4波形编辑器

2)设置仿真结束时间,波形编辑器默认的仿真结束时间为1µS,根据仿真需要,可以自由设置仿真的结束时间。

选择QUARTUSII软件的Edit>EndTime命令,弹出线路束时间对话框,在Time框办输入仿真结束时间,点击OK按钮完成设置。

3)加入输入、输出端口,在波形编辑器窗口左边的端口名列表区点击鼠标右键,在弹出的右键菜单中选择InsertNodeorBus…命令,在弹出的InsertNodeorBus对话框如图4-5所示界面中点击NodeFinder…按钮。

 

图4-5InsertNodeorBus对话框

在出现的NodeFinder界面中,如图4-6所示,在Filter列表中选择Pins:

all,在Named窗口中输入“*”,点击List在NodesFound窗口出现所有信号的名称,点击中间的

按钮则SelectedNodes窗口下方出现被选择的端口名称。

双击OK按钮,完成设置,回到图4-5所示的InsertNodeorBus对话框,双击OK钮,所有的输入、输出端口将会在端口名列表区内显示出来,如图4-7所示。

 

图4-6NodeFinder对话框

 

图4-7在波形编辑器中加入端口

4)编辑输入端口波形,即指定输入端口的逻辑电平变化,在如图4-7所示的波形编辑窗口中,选择要输入波形的输入端口如A端口,在端口名显示区左边的波形编辑器工具栏中有要输入的各种波形,其按钮说明如图4-8所示。

根据仿真的需要输入波形。

完成后如图4-9所示。

最后选择软件的File>Save进行保存。

 

图4-8波形编辑器工具栏

 

图4-9编辑输入端口波形

5)指定仿真器设置,在仿真过程中有时序仿真和功能仿真之分,在这里介绍功能仿真。

在QUARTUSII软件中选择Tool>SimulatorTool命令,打开仿真器工具窗口,如图4-10所示。

按图4-10上的提示,首先产生功能仿真网表文件,点击产生功能仿真网表的按钮GenerateFunctionalSimulationNetlist,产生功能仿真网表,然后点击开始仿真的START按钮开始进行仿真,直到仿真进度条为100%完成仿真。

点击仿真报告窗口按钮Report,观察仿真波形。

如图4-11所示。

 

图4-10仿真器工具窗口

 

图4-11仿真波形

6、编译仿真无误后,依照拨动开关、LED与FPGA的管脚连接表进行管脚分配。

表4-1是示例程序的管脚分配表。

分配完成后,再进行全编译一次,以使管脚分配生效。

表4-1端口管脚分配表

端口名

使用模块信号

对应FPGA管脚

说明

INCLK

数字信号源

N2

时钟为1KHZ

DATA0

拨动开关K1

AC22

分频比数据

DATA1

拨动开关K2

AD23

DATA2

拨动开关K3

AB8

DATA3

拨动开关K4

AA9

DATA4

拨动开关K5

AB12

DATA5

拨动开关K6

AA11

DATA6

拨动开关K7

AA10

DATA7

拨动开关K8

AB10

FOUT

LED灯LED1

AA17

分频输出

在前面选择好一个合适的目标器件(在这个实验中选择为EP2C35F672C8),完成设计的分析综合过程,得到工程的数据文件以后,需要对设计中的输入、输出引脚指定到具体的器件管脚号码,指定管脚号码称为管脚分配或管脚锁定。

这里介绍两种方法进行管脚锁定。

1)点击Assignments菜单下面的AssignmentEditor,进入到引脚分配窗口。

如图4-12所示。

 

图4-12进入引脚分配界面

首先将要分配管脚的信号放置在To下方。

双击To下方的《New》,如图4-12所示则会出现如图4-13所示界面。

 

图4-13信号选择对话框

选择NodeFinder…进入如图4-14所示的NodeFinder对话框界面。

按图4-14中样例设置参数。

在Filter窗口选择Pins:

all,在Named窗口中输入“*”,点击List在NodesFound窗口出现所有信号的名称,点击中间的按钮则SelectedNodes窗口下方出现被选择的端口名称。

双击OK按钮,完成设置。

进入管脚分配窗口,如图4-15所示。

 

图4-14NodeFinder对话框

在图4-15中以锁定端口A的管脚为例,其它端口的管脚锁定与其基本一致。

选择端口A的对应AssignmentName待其变为蓝色,双击之,出现下拉菜单选取如图4-15所示的Location(Acceptswildcards/groups)选项。

选择端口A的对应Value栏,待其变为蓝色,依照表4-1的硬件与FPGA的管脚连接表,输入对应的管脚名AB8,按回车键,软件将自动将其改为PIN_AB8,同时蓝色选择条会自动跳转到Value栏的下一行,这表明软件已经将输入端口A分配到FPGA的AB8引脚上,如图4-16所示。

 

图4-15管脚分配

 

图4-16给A端口进行管脚分配

用同样的方法,依照表4-1所示的硬件与FPGA的管脚连接表,对其它端口进行管脚分配,如图4-17所示。

2)点击Assignments菜单下面的PinPlanner(也可直接点击工具栏上的引脚分配按钮

)出现如图4-18所示的所选目标芯片的管脚分布图。

 

图4-17所有引脚全部分配结束后的软件窗口

 

图4-18目标芯片的管脚分布图

与上面的方法相同,依照表4-1所示的硬件与FPGA的管脚连接表,如端口A对应的管脚为AB8,则双击AB8管脚出现如图4-19所示对话框。

在图4-19对话框中的NodeName框中输入对应的端口名A或者通过下拉菜单选取对应的端口名称A,点击OK按钮,完成对端口A的管脚分配。

用相同的方法,依照表4-1对其它端口进行管脚分配,管脚分配完后,如下图4-20所示。

 

 

图4-19管脚分配对话框

 

图4-20所有引脚全部分配结束后的软件窗口

表4-1端口管脚分配表在图4-20中,棕色标出的管脚为已被分配锁定的管脚。

值得注意的是,当管脚分配完之后一定要进行再进行一次全编译,以使分配的管脚有效。

7、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。

观察实验结果是否与自己的编程思想一致。

完成对器件的加载有两种形式,一种是对目标器件进行加载文件,一种是对目标器件的配置芯片进行加载。

这里我们介绍对目标器件EP2C35F672C8进行加载的方法。

1)使用下载电缆将PC机与实验系统连接起来。

2)选择QUARTUSII软件的Tool>Programmer命令,进行编程器窗口,如图4-21所示,如果没有设置编程硬件,则编程硬件类型为NoHardware,需要对编程硬件进行设置。

点击HardwareSetup…编程硬件设置按钮,进行如图4-22所示的编程硬件设置对话框。

 

图4-21编程器窗口

 

图4-22编程器硬件设置对话框

3)点击AddHardware按钮,出现AddHardware对话框,如图4-23所示。

 

图4-23编程硬件选择对话框

4)在AddHardware对话框中,从Hardwaretype列表中选择所需要硬件类型,如果是USB接口的请参照用户使用手册中的USB电缆的安装与使用,如果使用的是并口下载线则选取如图4-23所示的硬件类型,点击OK按钮,完成对硬件类型的设置。

回到编程器硬件设置窗口,点击Close按钮退出设置。

则在编程器对话框中的编程硬件类型会出现刚才选取的编程器硬件。

5)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现这个工程文件要加载到目标器件的文件,如果要加载其它文件可以从其它地方进行添加更改。

选好加载文件后,再点选Progam/Configure,编程模式选取JTAG模式,点击STRAT进行文件加载,直到加载进度变为100%,文件成功加载。

五、实验报告要求

1.写出详细实验步骤;

2.描述分频器的原理。

附:

示例程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

--------------------------------------------------------------------

entityexp4is

port(inclk:

instd_logic;--定义时钟信号

data:

instd_logic_vector(7downto0);--输入控制的数据

fout:

outstd_logic--分频输出

);

endexp4;

--------------------------------------------------------------------

architecturebehaveofexp4is

signalfull:

std_logic;

begin

process(inclk)

variablecdount1:

std_logic_vector(7downto0);

begin

ifinclk'eventandinclk='1'then--检测时钟上升沿

ifcdount1="11111111"then

cdount1:

=data;

full<='1';

elsecdount1:

=cdount1+1;

full<='0';

endif;

endif;

endprocess;

process(full)

variablecdount2:

std_logic;

begin

iffull'eventandfull='1'then--检测时钟上升沿

cdount2:

=notcdount2;

ifcdount2='1'then

fout<='1';

else

fout<='0';

endif;

endif;

endprocess;

endbehave;

 

实验五ProtelDXP环境下电路设计实验

一.实验目的

1、了解ProtelDXP设计平台各窗口的组成和各部分的作用。

2、掌握ProtelDXP文件扩展名及其含义,工程的创建、保存和打开。

3、熟悉原理图绘制过程中的各基本元器件及其载入。

二.实验原理

利用Windows操作系统下的ProtelDXP软件平台进行电路设计。

三、实验内容

三.实验内容

1.熟悉ProtelDXP的工作界面

2.在ProtelDXP系统中,进行工程文件的新建、保存与打开。

3.绘制图5-1的模拟电路原理图。

图5-1模拟电路原理图

四.实验步骤

1、熟悉ProtelDXP平台工作界面,并练习工程文件及设计文件的新建、保存、打开。

(1)打开ProtelDXP系统,熟悉ProtelDXP的界面组成。

(2)新建工程文件,并在指定目录下保存为“exp5.PrjPCB”。

(3)在该工程文件中新建原理图文件,并保存为“exp5.SCHDOC”。

(4)关闭ProtelDXP,再次打开已保存的工程文件“exp5.PrjPCB”。

2、绘制图5-1的模拟电路原理图。

(1)打开刚才新建工程文件“exp5.PrjPCB”里的“exp5.SCHDOC”。

如图5-2所示。

图5-2打开工程文件“exp5.PrjPCB”里的“exp5.SCHDOC”

(2)利用快捷键Pageup与Pagedown调整图纸的合适大小。

(3)放置元器件和端口及电源、地:

从元器件库中取出所需要的元器件,放在工作区。

电阻、电容和三极管从元器件库MiscellaneousDevices.IntLib中选取,端口及电源、地在快捷工具栏选取,如图5-2所示。

图5-2放置元器件

(4)调整元器件的方向和位置,用鼠标拖动元器件,按空格调整方向,如图5-3所示。

图5-3调整元器件的方向和位置

(5)元器件流水号设置,点菜单命令Tools—>Annotate,如图5-4,弹出流水号自动设置对话框,完成流水号设置,如图5-5所示。

图5-4元器件流水号设置

图5-5流水号自动设置对话框

(6)设置元器件的属性,如图5-6所示。

(7)执行菜单命令Place—>Wire,绘制元器件间的电气连接。

电路图最终效果图就如图5-1所示。

图5-6设置元器件的属性

五、实验报告要求

1.写出详细实验步骤;

2.绘制电路原理图;

3.描述从protelDXP软件环境中如

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2