电子设计大赛宽带放大器.pdf

上传人:wj 文档编号:14657974 上传时间:2023-06-25 格式:PDF 页数:26 大小:470.78KB
下载 相关 举报
电子设计大赛宽带放大器.pdf_第1页
第1页 / 共26页
电子设计大赛宽带放大器.pdf_第2页
第2页 / 共26页
电子设计大赛宽带放大器.pdf_第3页
第3页 / 共26页
电子设计大赛宽带放大器.pdf_第4页
第4页 / 共26页
电子设计大赛宽带放大器.pdf_第5页
第5页 / 共26页
电子设计大赛宽带放大器.pdf_第6页
第6页 / 共26页
电子设计大赛宽带放大器.pdf_第7页
第7页 / 共26页
电子设计大赛宽带放大器.pdf_第8页
第8页 / 共26页
电子设计大赛宽带放大器.pdf_第9页
第9页 / 共26页
电子设计大赛宽带放大器.pdf_第10页
第10页 / 共26页
电子设计大赛宽带放大器.pdf_第11页
第11页 / 共26页
电子设计大赛宽带放大器.pdf_第12页
第12页 / 共26页
电子设计大赛宽带放大器.pdf_第13页
第13页 / 共26页
电子设计大赛宽带放大器.pdf_第14页
第14页 / 共26页
电子设计大赛宽带放大器.pdf_第15页
第15页 / 共26页
电子设计大赛宽带放大器.pdf_第16页
第16页 / 共26页
电子设计大赛宽带放大器.pdf_第17页
第17页 / 共26页
电子设计大赛宽带放大器.pdf_第18页
第18页 / 共26页
电子设计大赛宽带放大器.pdf_第19页
第19页 / 共26页
电子设计大赛宽带放大器.pdf_第20页
第20页 / 共26页
亲,该文档总共26页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

电子设计大赛宽带放大器.pdf

《电子设计大赛宽带放大器.pdf》由会员分享,可在线阅读,更多相关《电子设计大赛宽带放大器.pdf(26页珍藏版)》请在冰点文库上搜索。

电子设计大赛宽带放大器.pdf

1宽带放大器宽带放大器摘要本设计全部采用集成电路,具有硬件电路形式简单,调试容易,频带宽,增益高,AGC动态范围宽的特点,且增益可调,步进间隔小。

本宽带放大器以可编程增益放大器AD603为核心,由三级放大器组成,前级放大主要是提高输入阻抗,对小信号进行放大;中间级为可变增益放大器,主要作用是实现增益可调及AGC功能,增益控制和AGC功能都由单片机控制,可预置并显示增益值,增益可调范围10dB58dB,步进1dB,由单片机自动调节放大倍数可实现AGC功能,使输出电压稳定在4.5V5.5V之间;后级放大进一步增加放大倍数,扩大输出电流,提升放大器的带负载能力,提高输出电压幅度。

后级输出接峰值检波电路,检波电路输出由单片机采样并计算后,用液晶显示屏显示输出正弦波电压的有效值和峰峰值。

由于宽带放大器普遍存在容易自激及输出噪声过大的缺点,本系统采用多种形式的屏蔽措施减少干扰,抑制噪声,以改善系统性能。

2一一、方案论证与比较方案论证与比较1、总体方案总体方案方案一:

选用结电容小,fT高的晶体管,采用多种补偿法,多级放大加深度负反馈,以及组合各种组态的放大电路形式,可以组成优质的宽带放大器,而且成本较低。

但若要全部采用晶体管实现题目要求,有一定困难,首先高频晶体管配对困难,不易购买;其次,理论计算往往与实际电路有一定差距,工作点不容易调整;而且,晶体管参数易受环境影响,影响系统总体性能。

另外,晶体管电路增益调节较为复杂,不易实现题目要求的增益可调。

方案二:

使用专用的集成宽带放大器。

如TITHS6022、NE592等集成电路。

通过外接少数的元件就可以满足本题目要求,甚至远超过题目要求的带宽和增益的指标,但这种放大器难以购买,价格较贵,灵活性不够,不易满足题目扩展功能要求。

方案三:

市面上有多种型号、各具特色的宽频带集成运算放大器。

这些集成运算放大器有的通频带宽,有足够的增益,有的可以输出较高电压,使用方便,有的甚至可以实现增益可调及AGC的功能。

总体上硬件的实现和调试较为简单,所以,我们决定采用多个集成运放级连实现本题目。

系统方框图如图1113图111系统总体方框图2前级放大电路前级放大电路:

方案一:

采用共源共基差分式放大电路,该电路具有较高的输入阻抗,并且共基电路一方面可以扩展电路高频响应,同时又将共源电路负载电路隔离,使负载电阻产生的热噪声经过Cgd耦合到输入端,可以达到提高抗噪声性能。

但这种电路结构其抗噪声能力关键取决于所用器件,由于特性一致的晶体管和场效应管不容易购买,若采用一致性稍差的管子,其抗噪声性能会明显降低。

方案二:

使用宽带运算放大器,采用反相输入形式可以抑制共模信号降低噪声,其抗噪性能不一定优于方案一,但电路形式简单,易于调试,能够满足题目的输入阻抗的要求故选取该方案。

增益及电压有效值显示单片机键盘峰值检波小信号前级放大放大器增益控制后级放大输出43增益控制电路增益控制电路:

方案一:

利用电阻网络和拨码开关,手动调节增益,可实现增益控制,但硬件规模较大,控制繁琐且人机界面欠佳,另外,利用电阻网络实现增益调节需使用不同阻值的高精度电阻,这种电阻价格昂贵且不易购买。

方案二:

可以用继电器或模拟开关构成电阻网络,由单片机控制以改变信号增益。

这种方案同样存在方案一电阻网络的缺点,同时,如果使用模拟开关,其导通电阻较大,而且各通道信号会互相干扰,容易影响系统性能。

方案三:

由单片机、D/A转换器和可编程增益放大器AD603构成压控放大器。

单片机通过对控制D/A输出直流电压来控制AD603的内部电阻衰减网络,实现增益调节。

其外围元件少,电路简单,由于AD603带宽最大能达到90MHz,增益范围有40dB,增益精度在0.5dB,可精确实现增益控制,可以实现题目发挥部分减小增益步进间隔的要求。

所以本部分采用该方案。

4后级放大电路后级放大电路方案一:

采用晶体管单端推挽放大电路。

该电路广泛应用于示波器、显像管中。

通过多级深度负反馈和各种回路补偿扩展通频带。

为获得较低的通频带下限频率,可用直接耦合方式,而直接耦合的多级放大器工作点调试繁琐,需要较丰富的实践经验。

并且若要得到较5高的输出电压,晶体管放大电路对电源电压要求较高。

方案二:

采用单片集成宽带运算放大器。

提供较高的输出电压,再扩流输出,以满足负载要求.该方案电路较简单,容易调试,故采用本方案.5AGC控制电路控制电路方案一:

采用经典的AGC控制电路如图1-5-1。

利用检波电路从输出端得到一与峰值电压相关的直流分量送入误差放大器,控制结型场效应管,使其工作在可变电阻区,从而改变放大器增益以实现自动增益控制功能。

此种电路形式较为成熟,但动态范围不是很大,且场效应管工作在可变电阻区时不易控制其压控电阻,调整有些困难。

信号输入图151AGC电路方框图方案二:

使用AGC专用集成芯片,如AD8367,此类芯片外围电路简单,使用方便,可以很容易得到恒定输出电压。

但这类芯片输放大电路误差放大检波电路增益调节负载6入动态范围不大,输出往往为一伏值较小的恒定值,不利于本题显示输出有效值的要求。

方案三:

利用可编程增益放大器AD603,通过单片机软件转换,可以将增益控制电路转换成自动增益控制电路。

即通过峰值检波将输出信号峰值对应的直流分量送入A/D,通过软件计算,利用D/A输出对应的直流信号控制增益调节放大器,使得输出电压稳定在一定的范围内,同时显示输出电压值。

该方案无需外加硬件电路,可完全通过单片机对增益控制电路进行简单改进实现。

经总体考虑,决定采用该方案。

方框图如下(图152)输入输出图152由单片机控制的AGC电路AD603峰值检波电路A/D采样单片机D/A输出7二二主要电路原理分析和说明主要电路原理分析和说明1增益分配增益分配本系统以可变增益增益放大器AD603为核心,其它各单元电路都是根据AD603及题目要求设计。

题目要求最大增益要大于40dB,最大输出电压有效值大于等于3V,而中间级采用的可编程增益放大器AD603对输入电压和输出电压均有限制,所以,必须合理分配三级放大器的放大倍数。

AD603的最大输出电压有效值约为1.2V,假如要实现发挥部分的最大输出电压有效值大于等于6V的要求,即输出电压峰峰值minppV26216.9V,为得到最大输出电压,则后级放大至少要有5倍。

我们发现,AD603在输出电压过大时,波形会有失真。

为了实现输出不失真,同时尽量扩大输出电压,把AD603最大输出电压的峰峰值为定为2V左右,则放大倍数A29.168.4518.54(dB)故后级需要放大8.5倍,即18.6dB。

另外,AD603的输入电压峰峰值为1.4V,所以前级放大不宜过大,以免输入大信号时会烧坏芯片。

考虑到AD603输入电压范围,所以我们让前级放大3.5倍。

2前级放大器前级放大器由于AD603输入阻抗只有100欧,需加大输入阻抗才能满足题目要求,而且前级信号比较小,容易受噪声干扰,综合考虑。

我们前8级放大采用视频放大器AD818,其带宽有100MHz,接成反相放大形式,电路如图221,为了满足题目要求输入阻抗大于1k,选取R1=2K,Rf=7K,则放大倍数A1RfR273.5图221前级放大器3增益控制电路增益控制电路:

AD603的简化原理框图如图2-3-1所示,它由无源输入衰减器、增益控制界面和固定增益放大器三部分组成。

图中加在梯型网络输入端(VINP)的信号经衰减后,由固定增益放大器输出,衰减量是由加在增益控制接口的电压决定。

增益的调整与其自身电压值无关,而仅与其差值VG有关,由于控制电压GPOS/GNEG端的输入电阻高达50M,因而输入电流很小,致使片内控制电路对提供增益控制电压的外电路影响减小。

而且,如果AD603的增益用dB表示,则与控制9电压成线性关系,以上特点很适合构成本题要求的放大器。

图2-1-1图231AD603原理框图中的“滑动臂”从左到右是可以连接移动的。

当VOUT和FDBK两管脚的连接不同时,其放大器的增益范围也不一样,带宽在9MHz90MHz之间为加大中间级的放大倍数及增益调节范围,我们使用两片AD603级联作为中间级放大(图232)。

如果将AD603的5脚和7脚相连,单级AD603增益调整范围为,1030dB,带宽为90MHz,两级AD603级联,使得增益可调范围扩大到20dB60dB。

可满足题目要求的10dB58dB的增益调节。

10图232级联AD603电路图两级AD603采用5V,5V电源供电,两级的控制端GNEG都接地,另一控制端GPOS接D/A输出,从而精确地控制AD603的增益。

AD603的增益与控制电压成线性关系,其增益控制端输入电压范围为500mv500mv,增益调节范围为40dB,当步进1dB时,控制端电压需增大VG40)500(50025mv,由于两级AD603由同一电压控制,所以,步进1dB的控制电压变化幅度为25mv/2=12.5mv。

由于AD603的控制电压需要比较精确的电压值。

我们使用12位的D/A转换器AD667,其内部自带10V基准电压,其输出电压精度为122100.00244V=2.44mv,可满足指标要求。

11另外,通过A/D采样输出信号,由单片机计算后,再去调整D/A输出电压,就可实现AGC功能,扩大通频带。

4.单片机小系统单片机小系统单片机系统采用AT89C52为核心,时钟信号采用12MHz晶体,扩展了32k的外部数据存储器,采样FLASHROM28C256作为外部数据存储器,可以保存预置增益值。

采用可编程键盘专用接口芯片8279扩展键盘;显示部分采用12864的点阵液晶显示器,A/D转换器用AD1674,D/A转换器用AD667。

单片机系统方框图:

图241单片机系统方框图89C52外部数据存储器A/D转换器AD167412864液晶显示屏D/A转换器AD66782键盘看门狗MAX81312键盘控制模块:

用8279控制82键盘,键盘扫描方式采用编码方式,由于8279能够自动消除按键抖动,以及可以使用中断方式处理按键。

所以,使用8279可以代替单片机完成键盘的许多接口操作,从而大大的减轻了单片机的负担,使单片机可以腾出更多资源。

液晶显示模块:

本系统采用信利的MSC-G12864DYSY-5W作为显示器,该液晶屏是12864的点阵液晶显示屏,可通过控制字实现指令和数据的写入,但显示数据占用的存储器空间太大,因此,系统上加了一个512k的FlashRom(29F040),将国标汉字点阵信息存放在FlashRom中,显示汉字时,只需给出内码,由内码算出该汉字点阵存放的地址,读取后送显。

汉字的内码有两个字节(X,Y),X,Y为16进制数,由内码算汉字点阵在字库中存放位置的公式为:

offset10H(X0A1H)5EH(Y0A1H)由于29F040的地址线超过16根,我们用单片机和CPLD配合控制它,单片机每次从字库中读出一个汉字的点阵信息共32个字节,所以由单片机给出高位地址,CPLD给出低五位地址,将字库中的点阵信息读入单片机。

D/A转换器采用AD667,AD667是12位的D/A转换器,由它输出控制电压给AD603的控制端GPOS,精确控制AD603的增益,达到增益控制的目的。

A/D转换器使用AD1674,AD1674是12位的A/D转换器,其管脚与AD574兼容,并带采样保持。

用于采样输出信号,送由单片13机计算并显示输出正弦电压有效值及峰峰值,并与D/A配合实现AGC功能。

软件设计:

由于本系统中单片机只起控制增益和显示的作用,所以软件设计比较简单。

启动后进入增益控制界面,可以通过按键调节增益,步进1dB,还可以切换显示输出正弦电压有效值和峰峰值,以及切换到AGC功能。

5输出级电路设计输出级电路设计:

后级输出电路我们采用输入阻抗较高的同相放大形式(图2-4-1),前面分析过,为得到最大输出电压,后级放大倍数至少为A29.168.4518.54(dB)则同相放大电路的增益Af=11RfR=8.45故1RfR7.45实际应用时,选取Rf=8.2K,R1=1K。

14图251后级放大电路实测时,我们发现此电路带动600欧负载有些不够,在频率较高时输出电压峰峰值有较大下降,频率增高后,由于压摆率Sr限制,在高频大信号输入情况下,使得输出电压下降,故输出电流下降,导致带负载能力下降。

为此,我们采取扩大输出电流方式输出来驱动负载。

给运放扩流输出有多种方式,最常用的为三极管射随输出,但会稍微降低输出电压幅度,对发挥部分中提高输出幅度有影响。

为此我们在运放输出端加入两个并联的高速电流缓冲器来驱动负载,如图2-5-2。

我们使用的电流缓冲器BUF634在负载为100欧姆时最大输出电流250mA,其单位增益带宽可在30M180M变化,由于本题对功率要求不高,使用BUF634完全可以满足题目要求的指标。

15图252后级输出扩流电路6自动增益控制电路自动增益控制电路:

本系统的自动增益控制功能,实际是由增益控制电路转化而来。

在输出级加入检波电路,检出直流电压送入A/D采样,经单片机计算后控制D/A输出,此电压加到AD603的增益控制端,从而控制AD603的增益达到使输出电压恒定的目的。

检波电路设计:

由于本系统信号频率很宽,如果采用有源器件组成的峰值检波电路,在低频和高频段均有较大失真,不能得到与峰值线性对应的直流电平。

所以我们采用无源峰值检波电路。

我们采用的检波电路如图261,16图261检波电路图中D1、D2均为锗管,采用锗管因为其特性近似平方率曲线,变化较为平缓,二极管导通时电容充放电速度较为缓慢,输出幅度较为平坦,纹波较小。

R1、R2、D2保证输入信号小于二极管导通电压时,二极管D1也能导通,进行检波,R3、R4进一步抑制检波输出纹波,并将直流电压分压输出。

该检波电路输出信号电压约为输入电压峰值的1/6。

7电源电路设计电源电路设计:

整个系统需要的电源有5V,5,12V,12V。

5V/5V是前置放大器和AD603的工作电源12/12是输出级运放工作电源。

电源采用变压器变压后整流,滤波,再接三端稳压芯片7812,7912,7805,7905。

各稳压芯片都配有散热片,最大输出电流可达1.5安。

满足系统要求。

电路图如图271,27217图271正负5V电源电路图272正负12V电源电路18输出12V设计输出电流至少为300mA。

假设电网交流电压变化范围为1520,在0.01s内电压变化为UVdUUUMAX4.2127.0%)201(2FUIUQCt12504.201.03.0.其中,U16V(变压器输出交流电压),VdU3为7812、7912最小压降。

设计取滤波电容CF3300,即可满足要求。

同理输出5V时取CF3300同样满足要求。

8电路布局及抗干扰设计电路布局及抗干扰设计:

由于放大器频带很宽,且级数较多,整个系统容易自激,必须采用有效措施抑制自激发生,因此电路布局对整个系统性能起着至关重要的作用。

由于时间关系,我们采用通用板进行焊接。

焊接时我们使信号沿同一方向传输,信号线尽量不交叉,保证所有连线做到“横平竖直”;数字信号和模拟信号地分别接地,防止模拟信号被数字信号干扰;加粗地线,在每级放大器的周围铺一圈地,使得信号串扰被最大限度抑制掉。

每一级运放的电源都从自制电源板直接引入,并加上去耦电容及扼流圈,以减小电源纹波对信号的干扰。

通过以上措施,我们整个系统的抗噪性能得到明显改善,系统总体性能得到改善。

19三三数据测数据测试与分析试与分析1测试使用的仪器测试使用的仪器SS1793可跟踪直流稳定电源HP33120A型15MHz函数信号发生器DT9205数字万用表Agilent54622D100MHz示波器SX2172交流毫伏表DA22A超高频毫伏表2测试数据测试数据:

(1)输入电阻:

测试方法:

在信号源与输入端串一个2k的电阻(图3-1-1),测量输入端的电压值,比信号源显示的电压小一半,可知,系统的输入电阻为2k,满足题目要求的输入阻抗1k图3-1-1输入阻抗测量20

(2)幅频特性测试方法:

一般宽带放大器测试有两种方法,即“稳态法”与“暂态法”。

根据题目要求的测试参数,我们选用“稳态法”测量。

“稳态法”是以正弦波作为输入信号,在频域内研究放大器的特性。

根据要求采用点频法。

测试框图如图3-2-1图321测试数据:

表中电压单位:

V;频率单位:

Hz表321输入电压有效值Vin0.06V,增益34dBf1K5K10K50K100K500K1MVRMS2.142.462.782.92.9533f2M3M4M5M6M7M8MVRMS332.92.852.82.752.7最大输出电压有效值Vrms3.0V3dB带宽4KHz12MHz,1dB带宽7KHz8MHz信号源宽带放大器示波器/电压表21表322输入电压有效值Vin0.13V,增益34dB最大输出电压有效值Vrms6.6V。

最大输出电压下3dB带宽4KHz7MHz,1dB带宽5.8KHz6.9MHz测试输入信号峰峰值Vin=20mV,增益40dB,带宽大于15MHz。

(所用信号源最大输出频率15MHz)图322图325分别为以上两个数据表格3dB带宽的两端频率的输出波形,这些图形是HP54622D混合信号示波器上实际显示的波形。

f1K5K10K50K100K500K1MVRMS4.656.26.46.66.66.6f2M3M4M5M6M7M8MVRMS6.56.56.46.36.26.16.122图3-2-210KHz输出最大值波形图3-2-37.38MHz时输出电压最大值23图3-2-41dB处7.43MHz输出波形图3-2-53KHz时输出电压最大值测试数据分析:

由测试数据可知,放大器的带宽随输出信号的增大而减小,而且其带宽减小是由于波形失真,分析可得,影响带宽的因素是运放的压摆率,如果采用压摆率更高的运放,在输出大信号时24可进一步提高带宽。

(3)增益控制测量:

数据表格如表331333增益单位:

dB;电压单位:

V;输入信号幅度值为峰峰值输入信号峰峰值Vin25mV,f10KHz;表331增益显示101316192225283134输出电压0.0840.1100.1520.2130.2970.4290.5930.8771.210实际增益10.512.8715.6918.621.524.727.530.933.7增益显示3740434649525558输出电压1.692.473.454.826.739.6113.918.8实际增益36.639.942.845.748.651.754.957.5表332Vin=109mVf3MHz增益显示101316192225283134输出电压0.350.500.600.941.311.852.633.695.28实际增益10.1313.2315.6418.7121.624.627.6530.633.7增益显示37404346输出电压8.1711.515.718.6实际增益37.540.543.1746.3表333Vin109mVf6MHz25增益显示101316192225283134输出电压0.350.470.710.981.361.702.613.785.28实际增益10.1312.716.319.121.925.527.630.833.7增益显示374043输出电压7.3710.514.5实际增益36.639.642.5增益值10dB58dB可调,步进间隔1dB。

而且,增益预置值与实测误差的最大绝对值为0.5dB,满足题目要求。

(4)自动增益控制本系统扩展了自动增益控制(AGC)功能,通过测量,在输入信号有效值在0.005V0.50V之间变化时,输出电压能稳定在4.8V5.2V之间,根据定义,AGC范围20logVS1/VS220logVoH/VOl20log(0.50/0.005)20log(5.2/4.8)39.3DB满足题目要求。

(5)输出噪声输入交流短路,增益调为58dB,测得,输出电压峰峰值为280mV四四进一步改进措施进一步改进措施:

26经过四天努力,我们较顺利的完成了本系统,达到了题目要求的指标,但由于时间关系,还不能做到尽善尽美。

本系统还存在一定的扩展能力。

例如可通过选用压摆率更高的运算放大器从而进一步拓宽通频带,提高最大输出电压有效值,如AD844,其压摆率达到2000V/us在输出电压有效值为6V时,其带宽可达到40MHz。

通过改进单片机程序,可使AGC的输出电压稳定在不同的电压范围内。

另外,如果将电路制作成PCB板,合理的布局以及采用大面积覆铜等措施,可以使噪声电压降到更低,进一步提系统的性能。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 外语学习 > 韩语学习

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2