Synopsys安装和license制作简易教程.pdf

上传人:wj 文档编号:14658086 上传时间:2023-06-25 格式:PDF 页数:8 大小:986.75KB
下载 相关 举报
Synopsys安装和license制作简易教程.pdf_第1页
第1页 / 共8页
Synopsys安装和license制作简易教程.pdf_第2页
第2页 / 共8页
Synopsys安装和license制作简易教程.pdf_第3页
第3页 / 共8页
Synopsys安装和license制作简易教程.pdf_第4页
第4页 / 共8页
Synopsys安装和license制作简易教程.pdf_第5页
第5页 / 共8页
Synopsys安装和license制作简易教程.pdf_第6页
第6页 / 共8页
Synopsys安装和license制作简易教程.pdf_第7页
第7页 / 共8页
Synopsys安装和license制作简易教程.pdf_第8页
第8页 / 共8页
亲,该文档总共8页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

Synopsys安装和license制作简易教程.pdf

《Synopsys安装和license制作简易教程.pdf》由会员分享,可在线阅读,更多相关《Synopsys安装和license制作简易教程.pdf(8页珍藏版)》请在冰点文库上搜索。

Synopsys安装和license制作简易教程.pdf

Synopsys工具安装和license制作简易教程Linuxvirtualmachine“Ubuntu_16_04_for_synopsys”Info,Username:

jackPassword:

login123Memory:

2GBHarddisk:

64GBProcessorcounts:

1Internetconnectionmode:

NAT安装过程(软件环境:

VMware12pro/ubuntu16.04/32位版本;64位版本运行不成功)事先安装好VMware12pro和ubuntu16.04,之后在ubuntu中操作设置Ubuntu镜像源如图1(a)所示,将ubuntusoftware-Software&Updates-Downloadfrom设置成中国的网站,设置成功后关闭窗口,不选择更新如图1(b)所示,在终端中键入命令$sudoapt-getupdate进行更新(a)ubuntusoftware(b)aptupdate图1ubuntu镜像源更新安装必要工具键入命令$sudoapt-getinstallvimcshdconf-editorlibjpeg62-dev设置Ubuntu显示中文键入命令$dconf-editor如图2所示,将org-gnome-gedit-preferences-encodings-candidate-encodings的值设置成CURRENT,ISO-8859-15,GB18030,UTF-8,UTF-16图2dconf-editor键入命令ifconfig,确定网卡名是否为eth0,否则按照下列步骤更改成eth0键入命令$suroot键入命令#vim/etc/default/grub,在GRUB_CMDLINE_LINUX中添加net.ifnames=0biosdevname=0,新GRUB_CMDLINE_LINUX如下GRUB_CMDLINE_LINUX=find_preseed=/preseed.cfgautonopromptpriority=criticallocale=en_USnet.ifnames=0biosdevname=0键入命令#update-grub键入命令#vim/etc/network/interfaces,添加autoeth0ifaceeth0inetdhcp重启系统新建synopsys工具安装目录,并拷贝安装源tar压缩包到sources文件夹下,如图3所示;同时将installer_v3.2.tar解压到文件夹installer中图3安装目录树以安装Synopsysdesigncompiler,dc为例,而scl、vcs和pt的安装同dc(注:

synopsys的其他工具的安装过程都相同)键入命令$/eda/synopsys/installer/setup.sh开启图形安装界面,如图4(a)所示选择安装源路径为/home/jack/eda/synopsys/sources,如图4(b)所示选择安装syn,即为dc,如图4(c)所示选择安装路径为/home/jack/eda/synopsys/dc,如图4(d)所示其他未说明步骤按默认处理(a)启动界面(b)选择安装源路径(c)选择安装DC(d)选择安装路径图4DC安装过程制作license(注:

各种synopsyslicense制作包大同小异)键入命令$ifconfiga,记录eth0的MAC地址在Windows(不一定是本机,也可以是其他电脑)中进行操作,关掉一切杀毒软件运行license制作包中的LicGen.exe点开Open按钮,选择synopsys.lpd,如图5(a)所示如图5(b)所示在两处HostID-Custom键入eth0的MAC地址,点击Generate生成的内容Save成synopsys.dat将生成的synopsys.dat文件保存到SynopsysSSSFeatureKeygen文件夹中打开cmd,进入SynopsysSSSFeatureKeygen目录后运行sssverify.exesynopsys.dat,复制生成的SECRETDATA,如图5(c)和图5(d)打开SynopsysSSSFeatureKeygen文件夹中的KeyGen.exe,如图5(e)所示,键入SECRETDATA和eth0的MAC地址,生成license.dat如图5(f)所示,将license.dat中的FEATURESSS内容粘贴到synopsys.dat中,如果synopsys.dat中存在则替换如图5(g)所示,在相应位置修改localhost和snpslmdpath;其中在ubuntu中键入命令$hostname可获取localhost,而snpslmd后面的链接地址设置成绝对路径/eda/synopsys/scl/linux/bin/snpslmd(附录A为synopsys.dat更改后的部分内容)(a)选择Synopsys.lpd(b)生成synopsys.dat(c)验证synopsys.dat(d)生成SECRETDATA(e)生成license.dat(f)license.dat(g)修改后的synopsys.dat图5license制作过程验证license将制作好的license拷贝到/eda/synopsys/license目录下,同时终端进来该目录如图6所示,键入命令$/eda/synopsys/scl/linux/bin/sssverifysynopsys.dat,出现PASSED表示OK图6验证license环境变量配置键入命令$vim/.bashrc,添加如图7所示的环境变量,详见附件B图7环境变量设置运行每次运行前先键入命令$lmli2,启动scl,如图8(a)所示键入命令$dc,启动dc的图形界面,如图8(b)所示键入命令$dve,启动vcs的图形界面,如图8(c)所示键入命令$pt,启动pt的图形界面,如图8(d)所示(a)启动scl(b)dc的图形界面(c)vcs的图形界面(d)pt的图形界面(缺图)图8运行界面遇到的问题记录1.如在终端中显示缺什么,就试试安装什么,$sudoapt-getinstall*2.lmgrd找不到$sudoaptinstalllsb-core3.grep:

/etc/redhat-release:

Nosuchfileordirectory$sudo/etc/redhat-release4./bin/sh:

0:

Illegaloptionh$sudomv/bin/dash/bin/dash$sudoln-s/bin/bash/bin/dash附录ASERVERubuntu000c2960189e27000DAEMONsnpslmd/home/jack/eda/synopsys/scl/linux/bin/snpslmdFEATURESSSsnpslmd1.031-dec-2020uncounted0EFAB50928B9EDBDB856VENDOR_STRING=69656d1d8834cc335f9b3956108bdd779a423589aa9a3196HOSTID=000c2960189eISSUER=TeamZWT2006NOTICE=Licensedtomammoth/ZWT2006PLEASEDONOTDELETETHISSSSKEYSN=RK:

1978-0:

001224:

0START=1-jan-2006附录B#添加以下环境变量到/.bashrc#适用于32位,64位只需将链接中linux替换成amd64#(以下必选)#synopsysexportSYNOPSYS_HOME=/home/jack/eda/synopsys#licenseexportLM_LICENSE_FILE=$SYNOPSYS_HOME/license/sysnopsys.datexportSNPSLMD_LICENSE_FILE=27000localhost.localdomain#sclexportSCL_HOME=$SYNOPSYS_HOME/sclexportPATH=$PATH:

$SCL_HOME/linux/binaliaslmli2=lmgrd-c$LM_LICENSE_FILE-l/syn_lic.log#(以下可选)#vcsexportVCS_HOME=$SYNOPSYS_HOME/vcsexportPATH=$PATH:

$VCS_HOME/bin#dcexportDC_HOME=$SYNOPSYS_HOME/dcexportPATH=$PATH:

$DC_HOME/binaliasdc=design_visionaliasdcs=dc_shell#ptexportPT_HOME=$SYNOPSYS_HOME/ptexportPATH=$PATH:

$PT_HOME/binaliaspt=primetimealiaspts=pt_shell#simifexportSIMIF_HOME=$SYNOPSYS_HOME/simifexportPATH=$PATH:

$SIMIF_HOME/bin#hspiceexportHSPICE_HOME=$SYNOPSYS_HOME/hspiceexportPATH=$PATH:

$HSPICE_HOME/hspice/bin#sxexportSX_HOME=$SYNOPSYS_HOME/sxexportPATH=$PATH:

$SX_HOME/binaliassx=sx2007#iccexportICC_HOME=$SYNOPSYS_HOME/iccexportPATH=$PATH:

$ICC_HOME/binaliasiccs=icc_shell#herculesexportHERCULES_HOME=$SYNOPSYS_HOME/herculesexportPATH=$PATH:

$HERCULES_HOME/bin#starrcexportSTARRC_HOME=$SYNOPSYS_HOME/starrcexportPATH=$PATH:

$STARRC_HOME/bin

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 外语学习 > 韩语学习

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2