软件使用Quartusnew.docx

上传人:b****6 文档编号:16266455 上传时间:2023-07-12 格式:DOCX 页数:17 大小:2.61MB
下载 相关 举报
软件使用Quartusnew.docx_第1页
第1页 / 共17页
软件使用Quartusnew.docx_第2页
第2页 / 共17页
软件使用Quartusnew.docx_第3页
第3页 / 共17页
软件使用Quartusnew.docx_第4页
第4页 / 共17页
软件使用Quartusnew.docx_第5页
第5页 / 共17页
软件使用Quartusnew.docx_第6页
第6页 / 共17页
软件使用Quartusnew.docx_第7页
第7页 / 共17页
软件使用Quartusnew.docx_第8页
第8页 / 共17页
软件使用Quartusnew.docx_第9页
第9页 / 共17页
软件使用Quartusnew.docx_第10页
第10页 / 共17页
软件使用Quartusnew.docx_第11页
第11页 / 共17页
软件使用Quartusnew.docx_第12页
第12页 / 共17页
软件使用Quartusnew.docx_第13页
第13页 / 共17页
软件使用Quartusnew.docx_第14页
第14页 / 共17页
软件使用Quartusnew.docx_第15页
第15页 / 共17页
软件使用Quartusnew.docx_第16页
第16页 / 共17页
软件使用Quartusnew.docx_第17页
第17页 / 共17页
亲,该文档总共17页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

软件使用Quartusnew.docx

《软件使用Quartusnew.docx》由会员分享,可在线阅读,更多相关《软件使用Quartusnew.docx(17页珍藏版)》请在冰点文库上搜索。

软件使用Quartusnew.docx

软件使用Quartusnew

第一章QuartusⅡEDA工具简介

QuartusⅡ是Altera在21世纪初推出的FPGA/CPLD开发集成环境,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

QuartusⅡ提供的多平台设计能够满足各种特定的设计需要,其设计工具完全支持VHDL、Verilog的设计流程,并具备仿真功能。

此外,QuartusⅡ与MATLAB和DSPBuilder结合,可以进行基于FPGA的DSP系统开发或NiosⅡ部件开发。

QuartusⅡ具有模块化的编译器,编译器包括的功能模块有分析/综合器(Analysis&Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(TimingAnalyzer)、设计辅助模块(DesignAssistant)、EDA网表文件生成器(EDANetlistWriter)和编译数据接口(CompilerDatabaseInterface)等。

可以通过StartCompilation来运行所有的编译模块,也可以通过选择Start单独运行各个模块。

还可以通过选择CompilerTool(Tools)菜单启动编译器模块。

此外,QuartusⅡ包含许多十分有用的可编辑配置的LPM (LibraryofParameterizedModules)功能,其中包括单口RAM、双口RAM、ROM、FIFO、移位寄存器、DSP硬件乘法器、嵌入式逻辑分析仪等,它们是复杂高级系统构建的重要组成部分,对CPU设计和构建有不可或缺的作用。

QuartusⅡ还包含了74LS系列传统数字器件几乎所有元件的元件库,并可以通过系统编辑器(In-SystemMemoryContentEdit)编辑内部存储器代码或数据,极大地方便了CPU设计过程中的软硬件调试。

对于HDL的设计,QuartusⅡ拥有性能良好的错误定位器,可以确定采用HDL文本或图形输入设计中的错误,通过RTLViewer观察综合后的RTL图(即将HDL的程序描述转化为电路原理图)快速地发现错误。

经过编译和仿真无误便可以将下载信息通过QuartusⅡ提供的编程器下载到FPGA中进行验证测试了。

QuartusⅡ是前一代集成开发环境Max+plusII的更新换代产品,其界面友好,功能强大,使用便捷,在QuartusⅡ上可以完成面向FPGA的EDA设计的整个流程。

一、QuartusⅡ的设计流程

 使用QuartusⅡ将设计好的数字系统,包括CPU实现于FPGA中的流程一般需要经过图1-1所示的流程。

1.设计输入(Editting)

输入方法有原理图输入、状态图输入、波形图输入和HDL文本输入。

可以使用其中的一种方法,也可以混合使用。

比如以原理图表述作为顶层设计,其余作为不同元件引入,这样比较直观。

 

2.功能仿真(FunctionalSimulation)

 图1-1QuartusⅡ设计流程

功能仿真也称为前仿真,它不考虑延时和硬件,直接对输入的设计进行逻辑功能测试模拟,以了解其实现的功能是否满足设计要求。

功能仿真不涉及任何具体器件的硬件特性,可以在早期(不经过综合和适配)检查设计的正确性,使设计耗时缩短。

3.综合(Synthesis)

综合就是将电路的高级语言(如行为描述)转换成低级的,可与器件基本结构相映射的网表文件或电路连线图。

将逻辑描述转换成一些基本模块(如触发器、逻辑门)等,并进行逻辑化简,去除冗余项,尽量减少器件资源的耗用。

通过综合就将设计与硬件结构挂钩,这是将软件转化为硬件电路的关键步骤。

4.布局布线

布局是将已分割的逻辑小块放到器件内部逻辑资源的具体位置,使易于连线,且连线最少;布线是利用器件的布线资源完成各功能块之间和反馈信号之间的连接。

5.时序分析(TimingAnalysis)

时序分析就是验证设计是否符合时序性能要求。

6.时序仿真(TimingSimulation)

时序仿真也称为后仿真,是在选择了具体器件、并完成了布局布线后进行的含有定时关系的仿真,主要验证功能和时序是否正确,并且考虑延时。

7.编程、配置(Programming)

把适配后生成的下载或配置文件,通过编程器或编程电缆向FPGA进行下载,以便进行硬件调试和验证。

 

二、QuartusⅡ的图形用户界面

启动QuartusⅡ软件后,点击File->OpenProject,调入一个项目,就进入图1-2所示图形用户界面图。

从图中我们可以看到整个界面可以分为八个区,分别是:

图1-2QuartusⅡ的图形用户界面

1.菜单区域:

包括两部分,第一行是主菜单,第二行是常用快捷键。

2.主工作区域:

即输入编辑区。

3.元件调用菜单:

输入需要调用元件库里的器件,并选择连线以及文字标注等。

4.ProjectNavigator窗口:

项目浏览器用于察看正在编辑的项目的信息。

5.Status窗口:

系统在编译过程中的具体进度显示。

6.ChangeManager窗口:

用来跟踪和管理后编译,看是否达到设计目标。

7.Messages窗口:

用于反馈在进行编译时的提示信息。

8.Tclconsole窗口:

工具命令语言(toolcommandlanguage)输入窗口,Tcl是一种EDA开放的工业标准脚本。

A、设计的原理图输入

(1)启动QuartusII软件,如下图所示:

(2)建立一个新的工程,方法如下图所示。

在上图的“工程目录”中选择一个设计工程存盘路径,完成工程的命名后,点击Next按钮,后面设置页直接选择默认设置或点击Finish按钮完成新工程的建立。

工程建立后如下图:

注意:

文件夹(还有文件名)名称中不能包含中文和空格。

(3)建立原理图输入文件

如下图所示

点击ok按钮,则在QuartusII软件主窗口出现一个空的原理图输入窗口,点击该窗口系统菜单上的最大化按钮,结果如下图:

(4)输入设计文件

这里我们设计一个带使能端的二选一数据选择器。

在原理图窗口输入设计电路,我们需要三个三输入与非门和一个非门,以及必须的输入/输出端口。

在原理图设计窗口选择菜单Edit-》InsertSymbol命令,或直接在原理图设计窗口中双击鼠标右键。

从EDA的器件库中调出所需要的器件,可以直接在图中name栏中输入器件名,如7410(nand3)或在Librarises中查找,则对应的器件符号将显示在右侧符号预览窗口中。

点击ok按钮,在原理图设计窗口中插入器件。

依照上面的方法,在原理图窗口中一次插入三输入与非门(nand3)、非门(not)、所需要的输入端(input)和输出端(output),根据设计要求放好。

如下图:

按照设计要求进行连线,修改输入/输出端名称和节点命名,完成原理图设计。

在连线时注意不要把线画入器件符号与输入/输出端内。

对输入/输出端的名称修改,只需要在输入/输出端上点击鼠标的右键选择“properties”或者直接双击左键,打开如下对话框:

对输入/输出端命名。

得到如下图

(5)保存原理图设计文件

(6)编译。

在快捷键中,点击编译键►完成编译。

如果有错误,可返回前面重新检查、修改输入后再次编译,直到看到提示编译成功信息。

B、设计波形仿真

一个项目设计输入、编译完成以后,还要进一步使用EDA软件对设计进行仿真,验证仿真结果是否符合设计预期,达到设计要求。

根据所需的信息种类,设计完成功能仿真或者时序仿真。

其中的功能仿真仅仅是测试设计项目的逻辑功能,而与实际的器件延时无关;时序仿真不仅测试设计项目的逻辑功能,还测试了该项目在目标器件最差情况下的时序关系。

(1)打开一个新的波形编辑器

打开QuartusII,选File->Newotherfiles->VectorWaveformFile,点击OK进入仿真界面。

如下图:

(2)引入输入、输出端口

在左空白处双击左键,在新出现的对话框的Radix中选择Hexadecimal(16进制),点NodeFinder,如下图

在Filter下拉框中选择Pins:

all项,在Named栏中输入“*”,点list,在NodesFound框中出现输入、输出端口,选中所有的端口,点击中间的“》”按钮,点击OK,再点击OK。

如下图:

(3)加入激励信号

对所有的输入端口指定仿真的激励波形,注意可以选中某个输入端或者多个输入端口,也可以选中后面的时间段,对其进行波形定义。

如下图:

所有输入端口的波形定义好以后,保存波形文件,文件名与原理图文件名一致,扩展名为VWF。

需要修改时的操作如下,点击Edit,选择Endtime,系统默认为1Us。

(4)保存文件

所有输入端口的波形定义好以后,保存波形文件,文件名与原理图文件名一致,扩展名为VWF。

系统会自动把后缀加上。

(5)仿真。

点快捷键

仿真。

C、引脚分配

(1)指定所需PLD的具体型号如下图所示:

指定器件类型后要对设计进行编译。

(2)引脚锁定

引脚锁定就是将设计中的输入、输出端指定到所选PLD的引脚上。

选择菜单Assignments->AssignmentEditor命令,在弹出AssignmentEditor窗口中选择Category栏中的pin项,在窗口下面的分配表中,用鼠标左键双击To栏,从弹出的下拉列表中选择要锁定的输入/输出端口。

如下图所示:

(3)编译设计

指定器件类型,锁定引脚后要对设计进行编译。

4下载

将电脑与实验箱通过JTAG下载电缆连接好,点击快捷键

(或通过菜单Tools-Programmer)打开下载对话框。

选择下载模式为JTAG

添加硬件。

点击HardwareSetup,打开以下对话框,并选择ByteBlasterII下载器

点击AddFile添加要下载的文件,FPGA的下载文件是.sof文件。

如下图:

将Program/Configure打钩(同时确定Device显示的是EP2C5T144)

点击Start,启动程序下载。

当Programe进度条显示100%时,表示下载完成。

下载完成后,根据引脚分配完成硬件测试。

 

实验内容包括的IC器件:

7400、02、04、10、20、74、86、90、138、151、161、194、247、283

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工作范文 > 行政公文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2