基于Verilog的FSK调制器的设计与实现毕业设计论文.docx

上传人:b****1 文档编号:1638415 上传时间:2023-05-01 格式:DOCX 页数:49 大小:2.26MB
下载 相关 举报
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第1页
第1页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第2页
第2页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第3页
第3页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第4页
第4页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第5页
第5页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第6页
第6页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第7页
第7页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第8页
第8页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第9页
第9页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第10页
第10页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第11页
第11页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第12页
第12页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第13页
第13页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第14页
第14页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第15页
第15页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第16页
第16页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第17页
第17页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第18页
第18页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第19页
第19页 / 共49页
基于Verilog的FSK调制器的设计与实现毕业设计论文.docx_第20页
第20页 / 共49页
亲,该文档总共49页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于Verilog的FSK调制器的设计与实现毕业设计论文.docx

《基于Verilog的FSK调制器的设计与实现毕业设计论文.docx》由会员分享,可在线阅读,更多相关《基于Verilog的FSK调制器的设计与实现毕业设计论文.docx(49页珍藏版)》请在冰点文库上搜索。

基于Verilog的FSK调制器的设计与实现毕业设计论文.docx

基于Verilog的FSK调制器的设计与实现毕业设计论文

 

毕业(设计)论文

题目:

基于Verilog的FSK调制器的设计与实现

摘要

FSK(Frequency-shiftkeying)是信息传输中使用得较早的一种调制方式,它实现起来较容易,抗噪声与抗衰减的性能较好,在中低速数据传输中得到了广泛的应用。

而DDS(DirectDigitalSynthesizer)是直接数字式频率合成器的英文缩写,和DSP(digitalsignalprocessor)——数字信号处理一样,DDS是一种很重要的数字化技术。

与传统的频率合成器相比,DDS的优点有低成本、低功耗、高分辨率和快速转换时间,它广泛地运用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。

而本课题设计的基于Verilog的FSK调制器,就是采用EDA技术,以FPGA为核心,在DDS技术的基础上实现FSK调制器的设计。

识饒鎂錕缢灩筧嚌俨淒。

设计中用FPGA芯片完成各种时序逻辑控制、计数功能。

在QuartusⅡ平台上,用VerilogHDL语言编程完成了FPGA的软件设计、编译、调试、仿真和下载,在FPGA上进行硬件的测试。

凍鈹鋨劳臘锴痫婦胫籴。

 

关键词:

FSK调制器DDSFPGAQuartusⅡ

 

ABSTRACT

FSK(Frequency-shiftkeying)maybeusedfortransmissionofinformationofamodulationearlier,itiseasiertoimplement,preferablyanti-anti-noiseandattenuationproperties,hasbeenwidelyusedinlow-speeddatatransmission.AndaDSP(digitalsignalprocessor)-asdigitalsignalprocessing,DDSisaveryimportantdigitaltechnology.DDS(DirectDigitalSynthesizer)yesyesdirectdigitalfrequencysynthesizerabbreviation.Comparedwiththeconventionalfrequencysynthesizer,DDShastheadvantageoflow-cost,low-power,highresolutionandfastconversiontime,itiswidelyusedinthefieldoftelecommunicationsandelectronicequipment,istoachievefulldigitalequipmentisakeytechnology.ThedesignofthisprojectVerilog-basedFSKmodulator,istheuseofEDAtechnologytoFPGAasthecore,FSKmodulatorbasedonDDStechnologydesign.

CompleteavarietyofdesignsusingFPGAchiptimingcontrollogic,countingfunction.OntheQuartusⅡplatformwithVerilogHDLlanguageprogrammingcompleteFPGAdesignsoftware,compiling,debugging,simulationanddownloading,aftertheFPGAhardwaretests.恥諤銪灭萦欢煬鞏鹜錦。

 

Keywords:

FSKmodulatorDDSFPGAQuartusⅡ

一、前言

(一)课题简介

1.设计目标

直接数字式频率合成DDS技术是近年来发展起来的一种新的频率合成技术。

其主要优点是相对带宽很宽、频率转换时间极短(可小于20ns)、频率分辨率很高(典型值为0.001Hz)、全数字化结构便于集成、输出相位连续、频率、相位和幅度均可实现程控。

本设计在采用VerilogHDL语言实现DDS信号源的基础上,实现FSK调制器的设计。

鯊腎鑰诎褳鉀沩懼統庫。

2.设计要求

1.搜集资料,了解基于DDS的原理和实现方法;

2.首先利用VerilogHDL语言实现DDS信号源,然后利用DDS技术实现FSK调制器的设计,并且在FPGA上进行硬件测试;硕癘鄴颃诌攆檸攜驤蔹。

(二)文献综述

1.课题研究的背景及意义

在当今时代,计算机、电子及信息技术的飞速发展使得多种类、高精度、高分辨率、宽频带的信号源在空间通信、雷达测量、遥控遥测、无线电定位、卫星导航和数字通信等领域中的作用越来越大。

它本身性能合成技术的研究的好坏直接影响雷达、导航、通信、空间电子、仪器仪表等的性能指标,所以频率合成技术的发展和研究一直受到世界各国的重视。

阌擻輳嬪諫迁择楨秘騖。

随着数字技术的快速发展,为了取得更快的频率转换速度,人们重新想到了直接合成法,于是便出现了直接数字频率合成器(DDS),直接导致了第二次频率合成技术的飞跃,它是将数模变换器与数字计算机结合起来来产生信号,这项技术出现于七十年代,揭开了频率合成技术发展的新篇章,标志着频率合成技术迈进了第三代。

而在最近这几年里,随着VLSI技术的不断进步与发展,这种结构独特的频率合成技术得到了充分的发展。

同传统的频率合成技术相比,由于DDS主要是通过简单的加法、查表等数字信号处理方式得到我们所需要的信号,因此它具有频率分辨率高、切换时间短,相位变化连续,易实现对输出信号的多种调制,全数字化,便于集成等优点,使得DDS具有广阔的应用前景。

而且随着当前EDA技术的快速发展,为DDS的实现提供了更多的实现方式。

另外,因为DDS是利用查表的方式来产生波形的,所以它也可用来做各种波形的发生器,如正弦波,三角波,方波等等,这也是DDS技术另一个非常重要的应用。

氬嚕躑竄贸恳彈瀘颔澩。

在21世纪的今天,人们的社会生活已经因信息化时代的到来而被改变了,在人类社会进步发展进程中,通信技术的进步与发展起着越来越重要的作用。

数字通信技术以通信理论、数字信号处理理论及微电子技术作为基础,具有非常广泛的发展前景。

数字通信主要有两种通信方式:

1.是将数字信号作为信息的载体来传输信息的方式。

2是将数字信号对载波进行数字调制后再传输的方式。

与模拟通信相比,数字通信具有抗干扰能力强,便于加密处理,高度的灵活和通用性,设备便于集成微型化等众多优点,数字通信技术已发展成为现代通信系统的基础技术。

釷鹆資贏車贖孙滅獅赘。

数字调制解调技术是现代通信的一个重要的内容,在数字通信系统中,由于基带

数字信号通常都包含较低频率分量,甚至包括直流分量,而许多信道,例如无线电信道,不能传输低的频率分量或直流分量,因此必须对数字信号进行载波调制,使基带信号的频率范围搬移到足够高的频段,这就称为数字调制(DigitalModulation)。

它可以分别对载波的幅度、频率、相位进行调制,于是有ASK(振幅键控)、FSK(频移键控)、PSK(相移键控)等调制方式。

同时,数字调制也是时分复用的基本技术,其中FSK是利用数字信号去调制载波的频率,是信息传输较早的一种传输方式,(2FSK)在通信系统中应用很广泛。

怂阐譜鯪迳導嘯畫長凉。

本文研究高精度、高分辨率FSK调制器,以FPGA为硬件平台,采用直接数字式频率合成(DDS)技术设计信号源,以产生调制时所需频率的正弦波幅值信号作为FSK调制信号输出。

谚辞調担鈧谄动禪泻類。

2.国内外发展的现状

频移键控FSK数字调制,是经过ITU(国际电信联盟)标准化并广泛应用的一种数字调制技术。

它具有抗噪声性能好,传输距离远、误码率低等优点,在中低速数据传输中,特别是在衰减信道中传输数据时,有着广泛的应用。

嘰觐詿缧铴嗫偽純铪锩。

首先,在手机来电显示中,FSK数字调制应用是最常见的,所谓的来电显示,其实就是手机主叫号码信息识别及传送的通俗说法。

手机用户在有发信息的需要时,在手机上发送相应的文字或者图片信息,而FSK信号则将具有主叫号码信息识别功能的交换机将主叫用户号码及呼叫的日期、时间等信息传送给具有主叫号码显示功能的终端。

目前,采用这种方式的国家和地区有:

美国,日本,加拿大,中国等。

另外,在我国通讯事业发展的几十年中,FSK数字调制解调器的应用也是较为广泛的。

其中,计算机数据通信就是应用FSK调制方式进行的。

计算机在接受数字信号并把它们翻译成用户接受的可以理解的语言时也是采用二进制技术。

即通过FSK信号传输,将二进制的1和0发送给计算机终端的用户;同样的,反过来,又将用户传送的可识别的语言信号经过FSK信号解调成二进制数据发送给计算机终端,通过这种方式,保证计算机的正常运行,使得人与人之间的正常交流,人与社会的正常交流,从而让我们的通讯事业不断的进步,不断发展,使我们的社会更加进步。

熒绐譏钲鏌觶鷹緇機库。

由于DDS具有频率分辨率高、切换时间短,相位变化连续,易实现对输出信号的多种调制,全数字化等优点,使得它得到了非常广泛的应用。

在数字调制方面,它可以用来实现8PSK,QPSK,FSK等调制。

在雷达频率源方面,它可以实现线性调频频率源和窄步长,多点,高相噪的频率源。

在扩频通信方面,可实现任意规律的调频模式和CDMA/FH工作方式。

所以,研究DDS在各个领域的应用以及实现是一个非常有意义和前途的课题。

鶼渍螻偉阅劍鲰腎邏蘞。

但是由DDS的自身的特点也使得它具有两个比较明显的缺点:

一是输出信号的带宽受到限制,二是输出信号的杂散比较大。

杂散现象主要是因为信号在合成的过程中,会有D/A转换器的截断误差,相位的截断误差,还有D/A转换器的非线性的问题。

而随着技术的发展,这些问题也在慢慢的被解决,像通过增长波形ROM的字长和D/A转换器的精度可减小D/A量化误差,通过增长波形ROM的长度可减小相位截断误差等等。

但是通过这种对ROM的设置来减小杂散的方法作用还是有限的,而通过国内外众多学者对DDS的输出的频谱不断的分析与总结,在它的频谱特性的基础上,找到了一些降低杂散功率的方法:

可通过采样降低带内误差功率,或是通过随机抖动法提高无杂散动态范围。

而对于带宽受限这一问题,则可以通过现在的一些先进的工艺、低功耗的设计,提高数字集成电路的工作速度,使DDS芯片的工作频率得到提高,从而产生带宽交大的输出信号。

纣忧蔣氳頑莶驅藥悯骛。

3.研究方法分析

整个系统主要是在DDS信号源的基础上实现FSK调制器的设计。

由于整个设计主要涉及到DDS信号源,FSK调制器,VerilogHDL语言还有QuartusⅡ软件知识,所以我查阅了很多的资料。

通过查阅《EDA技术使用教程(第三版)》、《基于DDS技术高精度移相器的实现》、《VHDL数字电路设计与应用实践教程》、《EDA技术与Verilog设计》、《通信原理教程》等等资料,我不仅重新掌握了已经遗忘了的知识,并且对于FPGA技术、VerilogHDL语言、QuartusⅡ软件和FSK调制器的使用有了进一步的了解。

颖刍莖蛺饽亿顿裊赔泷。

对于FSK调制器的知识,我查阅了樊昌信编著的《通信原理教程》,王素珍、贺英、汪春梅、王涛、李改梅编著的《通信原理》,陈华鸣编著的《频移键控及其应用》,RodgerE.Ziemer,WilliamH.Tranter编著的PrinciplesofCommunications,强世锦,荣健编著的《数字通信原理》等书,深入的了解了FSK调制系统的工作原理及其的一些重要的应用。

濫驂膽閉驟羥闈詔寢賻。

对于DDS技术的知识,我查阅了潘松、黄继业、潘明编著的《EDA技术使用教程(第三版)》,罗苑棠编著的《CPLD/FPGA常用模块与综合系统设计实例精讲》、王振红编著的《VHDL数字电路设计与应用实践教程》,AlteraCorporation编著的AlteraDigitalLibrary,庞健涛编写的《基于DDS技术高精度移相器的实现》的文章,我深入的理解和掌握了DDS技术的工作原理及实现方法。

銚銻縵哜鳗鸿锓謎諏涼。

对于FPGA技术、VerilogHDL语言方面的知识,我通过查阅了潘松、黄继业、潘明编著的《EDA技术使用教程(第三版)》,王金明、冷自强编著的《EDA技术与Verilog设计》,王冠、俞一鸣编著的《面向CPLD/FPGA的Verilog设计》,给了我很大的帮助,虽然在EDA的课上讲过Verilog语言,也写过一些简单的一个器件的程序,但却并没有真正的自己设计一个系统的程序,通过学习这几本书,我除了巩固了之前学习的Verilog语言的语法知识,注意事项外,还通过看一个个完整的系统程序,让我学会怎样用语言把几段小程序连成一个系统的程序,同时也让我深深了解到FPGA技术应用的广泛。

挤貼綬电麥结鈺贖哓类。

对于QurtusⅡ软件方面,我查阅了夏宇闻编著的《Verilog数字系统设计教程》、罗苑棠编著的《CPLD/FPGA常用模块与综合系统设计实例精讲》、华清远见嵌入式培训中心编著的《FPGA应用开发入门与典型实例》,InternationalLimited编著的Qurtus∏DevelopmentSoftwareHandbookv6.0让我熟悉并掌握了QurtusⅡ软件使用的方法,之前在课堂上只会用一些简单的调试功能,通过翻看这几本书,让我深入的了解到这个软件的强大应用,学会了许多新的功能,像逻辑分析仪,锁相环等等,收益匪浅。

赔荊紳谘侖驟辽輩袜錈。

 

二、系统的总体方案设计

(一)设计要求

本文着重于DDS工作原理,以及以DDS技术为基础的FSK调制器原理。

首先利用VerilogHDL语言实现DDS信号源,然后利用DDS技术实现FSK调制器的设计,并且在FPGA上进行硬件测试。

塤礙籟馐决穩賽釙冊庫。

本文主要针对这几个模块进行研究设计:

(1)DDS技术原理以及FSK调制器的基本原理

(2)基于DDS技术的FSK调制器的整体设计

(二)设计原理及方案

1.DDS技术工作原理

DDS即直接数字合成器,是一种新型的频率合成技术。

具有较高的频率分辨率,可以在改变时能够保持相位连续,容易实现幅度、频率和相位的数控调制,另外还能实现快速的频率切换。

因此,在现代电子系统设备的频率源设计中,特别是在通信领域,直接数字频率合成器的应用十分广泛。

裊樣祕廬廂颤谚鍘羋蔺。

对于正弦信号发生器,它的输出可以用下式来表示:

其中,

是指该信号发生器的输出信号波形,

指输出信号对应的频率。

上式表明对于时间t而言,波形是连续的,为了用数字逻辑来实现该表达式,必须对其进行离散化处理,故采用标准的时钟clk来进行抽样,令正弦信号的相位θ为:

仓嫗盤紲嘱珑詁鍬齊驁。

=

在一个clk周期Tclk,相位θ的变化量为:

其中

指clk的频率对于2π可以理解成“满”相位,为了对Δθ进行数字量化,把2π切割成

,由此每个clk周期的相位增量Δθ用量化值来表述:

,且为整数。

与上式联立,可得:

绽萬璉轆娛閬蛏鬮绾瀧。

显然,信号发生器的输出可描述为:

其中

指前一个clk周期的相位值,同样得出:

由上面的推导可以看出,只要对相位的量化值进行简单累加运算,就可以得到正弦信号的当前相位值,而用于累加器的相位增量量化值决定了信号的输出频率,并呈现简单的线性关系。

DDS就是根据上述原理而设计的数控频率合成器。

骁顾燁鶚巯瀆蕪領鲡赙。

由上面的推导过程可得出:

2.FSK调制器原理

FSK(频移键控)是用数字信号来控制正弦波的频率,使正弦波的频率随数字信号的变化而变化。

FSK信号以不同频率值的正弦波来表示数字码元,如果有M个码元,则选择M个频率值:

(i=1,2,......,M),与M个码元一一对应。

FSK信号的数字表达式为:

瑣钋濺暧惲锟缟馭篩凉。

(m=1,2,......,M;

其中

为M个频率之间的频率差。

二进制FSK信号是用两个不同频率

的正弦波形来分别对应数字码元“1”和“0”,即:

发送“1”

S(t)=

发送“0”

式中,假设码元的初始相位分别为

为两个不同频率码元的角频率;A为一常数,表明码元的包络是矩形脉冲。

如图1:

鎦诗涇艳损楼紲鯗餳類。

图1二进制FSK信号波形

2FSK信号的产生方法主要有两种。

第一种是用二进制基带矩形脉冲信号去调制一个调频器,使其能够输出两个不同频率的码元;第二种方法是用一个受基带脉冲控制的开关电路去选择两个独立频率源的振荡作为输出。

两种方法产生的2FSK信号的波形基本相同,只是有一点差异,即由调频器产生的2FSK信号在相邻码元之间的相位是连续的;而开关法产生的2FSK信号,则分别由两个独立的频率源产生两个不同频率信号,故相邻码元相位不一定连续的。

栉缏歐锄棗鈕种鵑瑶锬。

(1)开关选择法

图2开关选择法产生2FSK信号原理图

当数字基带信号s(t)=1时,开关选通振荡器1,输出频率

信号;当数字基带信号s(t)=0时,开关选通振荡器2,输出频率

信号。

由于开关是在两个相互独立的振荡器之间进行切换,因此采用开关选择法输出的信号波形是断断续续相位不连续的。

如图3所示为相位不连续的FSK信号波形。

辔烨棟剛殓攬瑤丽阄应。

图3相位不连续的FSK信号波形

(2)直接调频法

图4直接调频法产生2FSK信号原理图

由于开关选择法是使用数字矩形脉冲信号,控制电子开关在两个相互独立不同

频率振荡器之间进行不停地切换,使得输出的FSK信号频率在两个频率点间发生

着突发切换,产生的FSK信号相位不连续。

FSK信号的相位不连续性,一般都会

使功率谱产生较大的旁瓣分量,导致产生信号的频谱中高频分量增加,信号频谱不

纯,引起包络的起伏。

因此为了克服这个缺点,必须控制FSK信号的相位连续性。

直接调频法通过调频器直接改变载波的频率参数,来调制单一载波,产生的FSK信号在频率切换时相位是连续变化的,不产生相位突变,通常称这种调制为相位连续FSK(ContinuousPhaseFSK,CPFSK)。

直接调频法产生的CPFSK信号由于相位连续,且占用信道频带较窄,较开关选择法有着显著的优势。

工程项目应用上一般采用直接调频法来产生FSK信号。

相位连续FSK信号波形如图5所示。

峴扬斕滾澗辐滠兴渙藺。

图5相位连续FSK信号波形

3.基于DDS技术的FSK调制器的整体设计

本论文的设计目标是,基于DDS技术,在FPGA上完成FSK调制的功能,系统总体设计方案如图6:

FPGA

调制器

DDS

数字基带信号

FSK调制波形

 

图6基于DDS技术的FSK调制器整体设计

在该系统中,FPGA将接收到的数字基带信号送入调制器,调制器根据接收到的数字基带信号产生相应的频率控制字

,并输出以控制DDS产生调制器所需频率的正弦幅值信号作为FSK调制信号,然后FPGA将已调FSK信号输出给数、模转换器(DAC)。

经DAC进行数、模转换后,即可得到适于线上传输的模拟正弦载波。

詩叁撻訥烬忧毀厉鋨骜。

 

三、各单元电路设计

(一)DDS整体结构设计

相位控制字输入

一个基本的DDS结构,主要由相位累加器、相位调制器、正弦ROM查找表和DAC构成,如图7所示。

图中的相位累计器、相位调制器、正弦ROM查找表是DDS结构中的数字部分。

则鯤愜韋瘓賈晖园栋泷。

 

DAC

正弦ROM

查找表

寄存器

+

+

频率控制字输入

NMMM

系统时钟CLK

相位累加器相位调制器

图7DDS整体结构

1.相位累加器

相位累加器是整个DDS的核心,在这里主要实现的是上文原理推导中的相位累加功能。

相位累加器的输入是相位增量

又由于

与输出频率

是简单的线性关系:

相位累加器的输入又可称为频率字输入。

频率字输入在图中还经过了一组同步寄存器,使得当频率字改变时,相位累加器不会被干扰,仍然能够正常工作。

胀鏝彈奥秘孫戶孪钇賻。

在本设计中,相位累加器主要由一个32位计数器构成,在系统时钟脉冲clk的作用下,每进入一个时钟脉冲,输出数据将增加一个频率控制字,即:

输出dout=dout+

为了设计更方便,令高于27和低于20的出入位为0,而真正的频率控制字为8位。

从而实现相位累加功能,累加器实现结构如图8:

鳃躋峽祷紉诵帮废掃減。

 

fw[31:

0]

dout

频率控制字[27:

20]

clkphase[31:

0]

图8相位累加器

2.相位调制器

相位调制器是接受相位累加器的相位输出,在这里加上一个相位偏移值,主要用于信号的相位调制,如PSK(相移键控)等,在不使用时可以去掉该部分,或者加上一个固定的相位字常数作为输入。

相位字输入最好也用同步寄存器保持同步。

稟虛嬪赈维哜妝扩踴粜。

需要注意的是,通常情况下,相位字输入的数据宽度M与频率字输入的数据宽度N往往是不相同的,它们的关系一般是M

陽簍埡鲑罷規呜旧岿錟。

在本设计中,相位调制器主要是由一个10位的加法器和10位寄存器构成,相位累加器的输出与相位控制字相加,使输出信号产生相移,这里相位累加器的输出选取高10位作为调制器的输入。

如图9:

沩氣嘮戇苌鑿鑿槠谔應。

inqout

A

A+B

B

phase[31:

22]

phase_add

相位控制字

clk

图9相位调制器

本论文主要谈论的是FSK数字调制器,因此对于相位的调节不是必须的,故此处的信号的相位控制字默认为零值。

钡嵐縣緱虜荣产涛團蔺。

3.正弦波形数据存储ROM(查找表)

正弦波形数据存储ROM(查找表)完成

的查表转换,也可以理解成相位到幅度的转换,它的输入是相位调制器的输出,事实上就是ROM的地制值;输出送往DAC,转换成模拟信号。

由于相位调制器的输出数据位宽M也是ROM的地址位宽,因此在实际的DDS结构中N往往很大,而M为10位左右。

M太大会导致ROM容量的成倍上升,而输出精度受DAC位数的限制未必有大的改善。

懨俠劑鈍触乐鹇烬觶騮。

正弦波形数据ROM模块的地址线是10位,数据线位宽是8位,即一个周期的正弦波数据有1024个,每个数据有8位,其中该模块采用LPM模块的VHDL文本调用方式实现,具体实现方法见下文的ROM的设计与仿真。

謾饱兗争詣繚鮐癞别瀘。

4.DAC数模转换电路的

数模转换电路是将数字信号变为模拟信号,本设计通过D/A转换电路将产生的离散数字量转变为模拟量,从而能通过示波器观察波形。

而本方案采用DAC0832芯片的直通方式。

呙铉們欤谦鸪饺竞荡赚。

DAC0832是采样频率为八位的D/A转换芯片,它的内部由三部分电路组成(见下图10):

“8位输入寄存器”、“8位DAC寄存器”、“8位D/A转换电路”。

集成电路内有两级输入寄存器,使DAC0832芯片具备直通、单缓冲和双缓冲三种输入方式,以便适于各种电路的需要(如要求多路D/A同步转换或是异步输入等)。

莹谐龌蕲賞组靄绉嚴减。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2