系统调试相关问题总结.docx

上传人:b****7 文档编号:16665694 上传时间:2023-07-16 格式:DOCX 页数:23 大小:902.89KB
下载 相关 举报
系统调试相关问题总结.docx_第1页
第1页 / 共23页
系统调试相关问题总结.docx_第2页
第2页 / 共23页
系统调试相关问题总结.docx_第3页
第3页 / 共23页
系统调试相关问题总结.docx_第4页
第4页 / 共23页
系统调试相关问题总结.docx_第5页
第5页 / 共23页
系统调试相关问题总结.docx_第6页
第6页 / 共23页
系统调试相关问题总结.docx_第7页
第7页 / 共23页
系统调试相关问题总结.docx_第8页
第8页 / 共23页
系统调试相关问题总结.docx_第9页
第9页 / 共23页
系统调试相关问题总结.docx_第10页
第10页 / 共23页
系统调试相关问题总结.docx_第11页
第11页 / 共23页
系统调试相关问题总结.docx_第12页
第12页 / 共23页
系统调试相关问题总结.docx_第13页
第13页 / 共23页
系统调试相关问题总结.docx_第14页
第14页 / 共23页
系统调试相关问题总结.docx_第15页
第15页 / 共23页
系统调试相关问题总结.docx_第16页
第16页 / 共23页
系统调试相关问题总结.docx_第17页
第17页 / 共23页
系统调试相关问题总结.docx_第18页
第18页 / 共23页
系统调试相关问题总结.docx_第19页
第19页 / 共23页
系统调试相关问题总结.docx_第20页
第20页 / 共23页
亲,该文档总共23页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

系统调试相关问题总结.docx

《系统调试相关问题总结.docx》由会员分享,可在线阅读,更多相关《系统调试相关问题总结.docx(23页珍藏版)》请在冰点文库上搜索。

系统调试相关问题总结.docx

系统调试相关问题总结

1电源基本指标

1.1电压稳定度(电压调整率)

在满载条件下,所有其它影响量保持不变时,使输入电压在最大允许变化范围内,而引起输出电压的相对变化量。

1.2负载稳定度

就是交流电的最大值和最小值的差。

稳压输出电压随着负载变化而波动的特性(如突加负载,负载加重,减轻)。

还可以说是指由于负载的变化引起输出电压的相对变化量。

负载增加的时候,电流增大,同样的功率,电压就会下降;负载减小得时候相反。

这个参数是表征负载对输出的影响,一般要求在5%以内。

具体计算方法:

0%负载,电压V1;100%负载;电压V2;要求输出V

|V1-V|/V<5%,|V-V2|/V<5%需要满足这两个表达式。

1.3纹波电压

是指直流电源的电压有交流成分,电压有点波动,但幅度不大,用示波器调到AC可以测出其大小,如果这个纹波太大的话,会可能带来损坏器件等问题

1.4纹波的测量

纹波的大小用Vp-p(峰-峰值电压)来表示,具体测试的时候,示波器打到交流耦合方式,测量波形的Vp-p值得到的就是纹波的大小。

1.5纹波的危害

芯片都有一个输入电压的范围,纹波大的话可能超出这个范围,芯片或者因为电压过低而停止工作,或者因为电压过高而烧坏,芯片一般要求纹波在50mV左右,纹波太大,导致芯片工作异常。

1.6关于电源拉偏

F118项目中实用电源出现过以下问题。

机箱内二次电源为朝阳的开关电源,任务书要求拉偏15%,朝阳电源的拉偏方法为通过一个外接电位器作为反馈回路电阻,电阻为最小值时输出最小,电阻为最大值时输出最大。

存在2个问题设计时需要注意:

不同电压输出的电源所需的拉偏电位器阻值差别较大;拉偏电阻阻值与拉偏电压存在非常严重的非线性,电位器阻值选择过小会导致拉偏上限不够,电位器阻值选择过大会导致接近拉偏下限电压调节分辨率过低。

2开关的使用

2010年2月22日,从502所取回地面设备6,7套转接箱和匹配箱共计4个,用户反映转接箱(6套)在唐家岭使用加电时,电加不上,同时地面转接箱的电源开关指示灯不亮。

遂运回。

对于第六套设备:

康拓测试时发现,设备地面电源箱开关打开时指示灯不亮,220V电压没有加上,首先检查220V电源保险,发现220V保险丝断开了,更换保险后,220V加电正常,使用地面转接箱电源开关后,该开关指示灯不亮,但设备加电正常。

开关电性能正常。

检查开关指示灯发现开关内置指示灯坏。

更换后整套设备电源部分工作正常。

应用户要求,将匹配箱内部所有D型头两边的固定六棱柱更换加高的六棱柱。

并提醒用户此为非原配套设计使用方式,因此引起的问题与我方无关。

对于第七套设备:

测试时现象同通用户反映的现象一致,即当打开地面电源箱上的开关后,再开匹配箱的地面电源开关时,指示灯变暗,地面侧表头不亮,而只开星上电源时,一切正常。

交换测试表明,匹配箱没有问题,而且在所有设备中,电源模块从没坏过。

因此将地面转接箱面板电源开关更换。

更换后连试正常。

应用户要求,将匹配箱内部所有D型头两边的固定六棱柱更换加高的六棱柱。

对于开关,以往用的是台湾DECA的参数为250VAC/3A,的,更换的是日本NKK3A250VAC,两者参数没有差别,价格相差较大。

价格差异主要表现在开关模具,触点材料和涂层用料上。

现在已经将四套设备的开关都更换为日本NKK的开关。

396芯长针过桥的安装问题

目前公司在安装96芯长针过桥时,使用的安装方式如下图所示:

缺口在右上,A1在右,C1在左。

96芯插图也是使用这种习题进行压接的。

但是新采购回来的长针过桥上有A1等标号,和目前的使用习惯是反向的。

现在规定要采用目前这种安装方式,不参考过桥上的标号。

另外找到欧式64芯长针,对2室母板提出了解决方案:

欧式64芯长针插座与96芯外形类似,只是少了C排,保留A,B排,可以与我们的星载BUS板无缝连接,由于未找到配套的64芯过桥,就采用96芯的过桥,并配96芯电缆插头,这样省去了原先的非标母板,直接通过电装连线,使系统各信号连线更加清晰,便于调试。

4设备调试问题

4.1使用内插板,机壳接地问题

使用内插板结果的设备,例如schroff机箱和带有面板的接口箱,机箱内使用APCI5096,由于板卡内插,所以APCI5096的上下把手被去除,这样就造成了APCI5096的地线和机壳不导通。

解决方法:

在电源KDY-36的220V的地与12V的地短接即可

4.2一体化机箱系统无法正常启动

现象:

系统出现白屏

原因:

键盘、鼠标接反

解决方法:

正确连接键盘、鼠标

现象:

系统出现黑屏或者各个板卡工作不正常

原因:

设备功耗过大,导致系统5V工作电压不够

解决方法:

在母板上加排阻RR1,阻值为10K8-9,和调节5V工作电压。

多于3个GX5872、GXRCIO板卡,尤其是5260功耗很大。

4.3APCI5918系统USB不响应问题

出现问题:

USB接口不工作。

解决方法:

由于之前此系统出现过该问题,每次都是重新安装新系统就好使,但这次在安装完发现问题未解决。

打开机箱对USB线进行排查发现一条USB线缆为两根USB剪切后粘连接在一起。

更换新线缆后问题解决。

4.4J36A全系列展开箱使用中遇到的问题

J36A-TJ可以完全插入J36A-ZJ中,当发现原本没问题的信号出现怪异现象,可能是TJ插入了ZJ中,8室姜耿峰曾经出现过这类的误操作。

4.5展开箱上接插件质量问题

印制板安装前一般都会检查各焊针有无短接,但也会出现焊针与焊盘虚焊的情况,造成部分接插件的接点的断路,所以目测时也要检查各焊点焊锡是否饱满。

F146展开箱使用过程中发现J36A-38ZJ的各点间阻值小于1M,这样会出现个点之间电压干扰的情况,测量橡胶垫及固定塑料阻值均大于30M,初步排除接插件问题,可能是印制板上J36A-38ZJ问题。

使用电缆测试仪也未测试出以上两种问题。

4.6脉冲信号信号出现过冲

现象:

一般项目中都有频率信号的输出,例如2KHz的周期性脉冲信号,该信号的输出往往有很大的过冲,能达到1V左右,并且对其他信号造成一定的干扰。

原因:

FPGA芯片XC3S500E的引脚约束默认驱动电流为最大值12,在驱动电流值越大的情况下,过冲的幅度就越明显,而我们的光隔6N137的驱动电流最小值为5mA,HCPL2232的驱动电流最小值为1.6mA~1.8mA,但是经过试验结果好像并不十分明显,故此原因只是推测而已。

解决:

一是设备箱内部的2KHz信号改为屏蔽线,屏蔽层接壳地;二是电路上做出改动,在2KHz的光隔输出端串联一个二极管(BAS85),在对地接一个电阻变位器,原来的光隔上拉电阻去掉。

通过调解电位器,控制2KHz信号的输出幅度和上升、下降沿的速率。

如下图所示:

4.7进行I/V变换时,输出电压的稳定度不好

原因:

采样电阻实效。

以前使用电流采样电路时没发现采样电阻损坏过,这次修理飞轮转接盒时发现电阻损坏时静态阻值有变化,并且通电测试时也会影响采样值乱跳。

拆下电阻后发现电阻表面有轻微鼓起反面有裂纹。

所里反馈的信息也证实是电阻影响了采样值。

2010-5-24

4.8使用继电器控制电源输出时,产生阶跃信号

当继电器作为电源开关闭合时,电源输出产生一个一介阶跃信号,会出现超调和抖动,如下图所示,时间一般不到1us,一般不会影响被测设备。

但有些被测设备要求比较严格,如F118,要求电源输出没有过冲。

可在电源输出端加一1u电容,效果如下图。

4.9关于机箱接线表的问题

4.9.1接插件中电源部分的连接问题

问题描述:

一般在做电源接插件的接线时,习惯性的将每块板卡的所有电源信号都连上,这样的好处是便于制表者了解电路,但不利于电装加工。

解决方法:

a)在电流允许(板卡保持正常工作)的情况下,减少每块板卡上的电源信号接线。

b)在电源接插件尽量不要短接相同信号,写表时注意要平均分配信号到各引脚(如4,5点都是VCC,则各点接N个信号,若使用0.35mm2线,建议一点上尽量不超过4个信号)。

4.9.21点连7点情况的说明

问题描述:

由于本次二室F143敏感器箱设计接线表时未采用母板,在电装中出现了总线口每点与各个板卡都有连接的情况(1点对7点)。

危害是对接插件焊接技术要求极高,容易与旁边的点短在一起。

且容易脱落。

解决方法:

在制作接线表时发现类似上述连接情况的时候应该想想是否要制作母板,以简化电装布线。

4.9.3前后面板相连情况的说明

问题描述:

由于所写接线表的板卡没有用户侧接口(CN口,J1口等),所以前面板的信号都是从BUS上直接引出。

如果制作接线表时直接将前后面板信号连接在一起,会出现:

a)电装员无从下手,前后面板只能由一个人电装完成。

b)接线出错排查和修改困难。

解决方法:

增加一组前后面板间的接插件,这样前后面板可以分开电装。

注意在写前面板信号时如果可以简化要写清最后短接后的接点表。

减少电装走线。

4.9.4前后面板接线测试时注意的地方

4.9.4.1电源和地

F127项目,在测连线的时候发现5V和GND短接。

最后发现是开关电源的问题,其未加电情况下5V输出和GND之间电阻很小(50欧左右),用万用表二极管档(大约输出3.7V电压)测试的时候形成短路。

处理方法:

首先在电装前要加电测试开关电源好坏,在测接线时注意开关电源的影响。

4.9.4.2电源和按钮

F143项目,在测连线的时候发现5V和GND短接,最终由电装人员发现是开关按钮中加入灯泡(用的灯泡正好接5V,此灯泡内阻也很小)的缘故。

处理方法:

在测接线时不要装入灯泡。

,多次测试

4.10使用FPGA板卡控制继电器,FPGA加载过程的继电器状态

可以通过FPGA的HSWAP引脚控制加载时IO的状态,当HSWAP被下拉,所有引脚在逻辑加载时输出高电平;当HSWAP被上拉,所有引脚在逻辑加载时为悬空状态。

4.10.1FPGA引脚直接控制

使用FPGA引脚直接连接控制继电器的NPN三极管时,该控制引脚设置为悬空状态,但必须注意该引脚是否连接了其他电路,例如前面板的指示灯,这样也会使该引脚上有电压,使三极管导通。

4.10.2FPGA通过同向隔离器件控制继电器

GX5872接口电路如图1所示,HCPL2232为正逻辑,当CATHODE引脚为低时,LED导通,DO输出为高;反之当CATHODE引脚为高时,DO输出为低。

当FPGA上电加载逻辑时,无论HSWAP引脚是否上拉,LED皆不导通,DO输出为低,控制继电器时不会发生误操作。

图1

这样的控制方式没有问题。

4.10.3FPGA通过反向隔离器件控制继电器

GXRCIO接口电路如图2所示,6N137为负逻辑,当DO引脚为低时,LED导通,ADO输出为低;反之当DO引脚为高时,ADO输出为高。

当FPGA上电加载逻辑时,无论HSWAP引脚是否上拉,LED皆不导通,ADO输出为高,此时会控制继电器闭合。

图2

在逻辑加载阶段,GXRCIO板卡上引脚悬空,导致6N137的输入端光耦内LED不导通,输出端为高电平,该高电平使GXJDQ板的9013型三极管导通,继电器接通。

而逻辑加载完毕后,引脚初始值为低电平,继电器状态又转换为关断。

这个过程造成了上电时继电器的误动作。

那么要解决GXRCIO控制继电器时的误动作问题,首先要使上电阶段引脚的输出电平与控制该引脚的逻辑初始值相同的,即逻辑加载前后,信号有效之前,引脚输出常为高电平。

其次,是控制继电器导通的逻辑反向,即输入高电平使继电器关闭,输入低电平是继电器接通。

这里采用了一个相对简便的办法:

把控制继电器线圈加电的三极管由NPN型更换为PNP型。

此外需要完善的工作就是使PNP型三极管的基极控制电压为0/12V,具体的做法是取下GXRCIO板卡上输出端的接5V的上拉电阻,在GXJDQ板上构建一个12V的上拉电阻。

行如下修改:

将GXRCIO接口电路的上拉电阻去掉。

如图3所示。

图3

将GXJDQ三极管改为PNP三极管9012,并在输入端加上拉12V,如图4所示。

图4

所以在使用FPGA板卡控制继电器时,必须考虑FPGA加载时的IO状态。

4.11地线!

地线!

在对F157星地联试设备进行出厂前测试时,发现数个信号给定输入后并没有测量到预期响应,检查了接线表后发现信号连接无误。

后在同事帮助下查明是各个接星接口上的信号地没有与所经过的调理板卡的地相连造成。

地线的原理大家都不陌生,但是这一次调试让我切身体会到了地线设计的重要性。

地线是信号线上流经的电流的回线,通俗来讲是信号进到哪里,回线就从哪里出。

具体地说就是某个插头上的信号线进入到某块调理板卡,那么该信号的对应的信号地一定要从那块调理板卡引出接到插头上。

如果该插头上的多个信号进入多块调理板卡,那么把所经过的调理板卡的信号地一一引出接到插头的地线点上。

如果需要共地则在后面板接线上把几个地短接;如果要各个地独立,则地线点按照与信号的对应关系和按节点定义而放置。

如果在调试阶段发现信号有输入没输出,接线关系没错,调理通道又没错,那么就要考虑是不是地线问题。

4.12设备的计算机地与星地相通

现象:

用示波器测量星上信号,可发现设备的计算机地与星上产品的地相通

原因:

示波器是用220V供电,而不是电池供电,这样示波器的地表笔芯就与220V的地相通,而星产品与设备供电均是取自于220V

解决办法:

在测量星上产品时,示波器等测量工具最好采用电池供电

4.13FPGA程序的某一地址数据无法更新

现象:

FPGA程序某一地址的数据始终为0,正常情况下应为某一数据,调试环境为使用Labview的内存读写界面进行读写操作,比如分配了0xff0为发送偏移量累加地址,在调试过程中发现该地址数据始终为0,而不断发送运行时能读到数据。

原因:

调试环境Labview的内存读写界面运行时,是满屏更新数据的。

在程序运行时,由于程序运行速度较快,执行完时,满屏更新动作还没到达该地址。

这样该地址数据经过完全更新后就会被新的数据覆盖。

解决办法:

尽量使用最新版Labview的命令行窗口进行测试,就避免了这一问题。

4.14FPGA程序改换地址后不运行,处于死循环状态

现象:

同样的FPGA程序,在改换部分地址后,发现程序进入死循环状态,运行不正常,无法进行数据读写操作。

原因:

一考虑程序逻辑状态转移是否正确,二当前环境是否使用了旧的抬高板(原2层的APCI抬高板),旧的抬高板引起的这个问题还无法得知原因,可能跟硬件布板有关。

解决办法:

第一种情况仔细检查程序,第二种情况建议不用抬高板,或者使用新的抬高板(现4层的一对一APCI抬高板)

4.15采用等效阻抗方法分析245芯片,245芯片的驱动能力

4.15.1问题的提出

在F157设备中存在这样的设计:

星上通过一个接点给出控制周期信号,经过一个隔离调理电路后分6路输送到6块地面仿真板卡,用作同步时钟统一工作步调。

在以往的设备中该处理方式被证明是可靠的,但是在F157设备中地面板卡始终采集不到控制周期信号。

使用示波器观察,发现进入板卡的只有不超过1V的脉冲,频率与星上控制周期相同。

仔细测量了调理电路的前端后端,断定问题出在调理后的电路中。

4.15.2分析

分析以往的设计,在使用PCI5313板卡作为仿真板卡时并未出现上述问题。

而这里使用的是PXI5312,两块板卡的接口芯片确有区别,PCI5313采用的是74ALVC164245;PXI5312采用的是74VTH16245。

查看两个芯片的输入电流Ii均为±5uA。

调理电路的输出端为三极管集电极输出方式,由10K电阻上拉到5V。

理论计算可以提供500uA的驱动能力,虽不算大,但是用于驱动6个245引脚,每个245引脚可以有80~90uA的灌电流,满足所需的大于5uA,理应使245正常工作。

再对比两种245芯片的区别发现,较之74ALVC164245,PXI5312板卡上的74VTH245芯片还具有总线保持电路,当输入的电压值小于Vcc时,驱动电流的需求将大为增加,达到最大±500uA!

我这样理解总线保持电路,具有这样设计的245比没有的245芯片具有更宽的阈值调整范围,可以根据Vcc和输入电平的情况有效的调整阈值,从而具有一定的抗干扰功能和自适应性能。

但是这些性能提升的同时却不得不牺牲了输入阻抗性能。

当输入电平接近Vcc或0V时,输入电流为Ii的标准值±5uA,但是当输入电平小于Vcc又高于0V一定范围时,为了能够正确采集到输入状态,需要额外为总线保持电路提供更多的驱动电流(往往与Ii的标准值相差1个量级)。

Vcc为3.3V时输入电平Vi为3.3V,Ii标准值为5uA,相当于输入阻抗为660K;而当Vcc不变,输入电平Vi将为2V,此时Ii为500uA,相当于输入阻抗为4K。

所有供电情况不变,只是输入电平从标准值降低了39%,则输入阻抗降为原来的1/165。

同时发现名称中标有74LVCH字样的芯片也带有总线保持电路,情况与上述相似。

4.15.3解决

由此看来,F157设备中的问题就是负载阻抗过小,导致输出电压被拉低。

依据这里的实际情况,将调理电路的输出阻抗也调小,将上拉的10K电阻去掉换为1K,在输出端的电平就会被适当提高,从而可以被判定为高电平。

按照这样的办法改进后问题得到解决,6块仿真板卡可以采集到星上的控制周期信号。

4.15.4后记

之前听说过调试某型号主板时就因245过大的灌电流导致的一些问题,最后是通过更换不带总线保持型号的245来解决。

将问题归结为过大的灌电流似乎还有不完善之处,因为仅提高输出电流必然还会影响到输出电压,这样一来245还能不能有效判定电平阈值也成为疑问。

如果以等效的输入阻抗观点来考虑或许会对综合解决问题带来帮助。

最后建议同事们在选取245芯片时尤其要注意输入阻抗的问题,根据实际情况选取是否带有总线保持的245芯片。

4.16三极管的关断性能比较

在协助调试综合测试仪的C1-TL2的时候,发现一个小问题,就是使用信号发生器输入时,每个12V转5V的信号输出端信号在下降沿来临前会有一个Vpp不超过1V的尖峰干扰加在波形上,具体图形如图1所示:

图1

在检查了输入信号的波形后,问题基本明了,就是输入的100KHz频率信号传递到终端,接的是10K下拉电阻导致匹配不当信号反射,即输入信号就带有1V尖峰,而且也通过了调理电路到达输出端。

只要速度不高并且匹配电阻合适的话,问题比较容易解决。

但是这个尖峰却成为一个标记,引出了接下来的问题,如图图2所示:

图2

当将时间展开观察时,图中蓝色信号为输入,黄色信号为输出,输入输出尖峰同时动作,但是输出信号的下降沿启动时间比输入信号的下降沿滞后824ns。

C1-TL2板卡这里的电路如图图3所示:

图3

首先采取的措施是增加三极管的Ic电流,以此来提高通频带宽。

将原13K电阻换为4.7K,原10K电阻换为3K,后波形有所好转,滞后时间减小为670ns。

接下来考虑更换速度更快的三极管,于是将原来的9013替换为2N2222,输入400KHz,实测结果为滞后344ns,如图图4所示

图4

在实际的应用场合调理电路造成344ns的延迟是性能不佳的。

最后想到快速三极管3DK103C型,更换后在输入800KHz频率情况下滞后时间小于1ns几乎为0。

如图图5所示

图5

最后的解决办法就是将板卡上的9013全部更换为3DK103C型三极管。

既提高了通频带宽,又减小了滞后时间。

目前该板卡12V转5V的调理能力可以通过800KHz频率信号。

三极管的关断时间问题之前没有太多关注,只是因为以目前项目需求中多为低频信号,1us以下的滞后时间对性能影响很小。

出于对电路技术的深入开发目的,对器件各项细节指标的了解还是必要的。

4.17关于万用表的蜂鸣档

在调试过程中常常需要测量两个信号点的连接关系,但是有时本不相连的信号点由于加工工艺会搭接,引起错误的动作。

往往接触电阻较小,介于100欧姆左右,这就给测量带来的一些麻烦。

万用表的蜂鸣档门限各不相同,在100欧姆处在边缘地带,在使用某些万用表时是听不到蜂鸣器响声,而如果此时没有仔细观察电阻值就认定两点是断开的话,则会造成误判。

因此建议使用敏感的万用表蜂鸣档,并且在测试过程中要时时留意电阻值。

4.18如何烧写烧写9054的配置芯片

安装Plx软件,

在安装目录下找到C:

\Plx\PlxSdk\Windows\Driver\PlxSdk.inf文件打开。

;-----------------------------------------------------------

;Deviceidentificationfor32-bitWindows

;-----------------------------------------------------------

下添加以下内容

"PLXCustom(OEM)PCI9030Board"=DDInstall_9030,PCI\VEN_10b5&DEV_9030

"PLXCustom(OEM)PCI6878Board"=DDInstall_9054,PCI\VEN_10b5&DEV_6878

"PLXCustom(OEM)PCI5313Board"=DDInstall_9054,PCI\VEN_10b5&DEV_5313

保存后,安装该inf文件为板卡驱动

运行PLx软件。

选择EEPROM页面

“LoadFile”,选择8bit-pci5313.X或16bit-pci5313.X

可修改部分内容。

点击“Write”。

完成烧写。

更换VISA驱动。

也可以从原有配置中读取后修改。

4.19如何使用LabVIEW获取PCI总线中断

使用NI提供的DriverWizard编写带中断的驱动程序

1.运行软件

选择PXI/PCI,下一步

2.填写板块ID和设备ID,设置如图

默认情况如同所示,其中必写填写subsystem的ID。

并且勾选“Thisdevicegeneratesinterrupts”。

下一步。

3.中断监测设置

选择addstepbefore。

BAR0的0x68地址在9054的中断设置和状态寄存器(32位),其中第15位为本地触发总线中断有效,所以设置comparemask和write/comparevalue的值均为0x00008000。

确定,下一步

4.中断移除设置

选择addstepbefore

该步设置清除中断的设置,需和本地程序配合,例中向本地的RAM(BAR2)中的0xC地址中写1,板上的FPGA逻辑会将LINT信号拉高,清除本地中断。

确定,下一步

5.中断注销设置

该步骤不设置,直接下一步。

6.保存驱动文件

7.安装驱动

完成。

在开发应用软件时还需对中断始能进行初始化操作。

如图

向BAR0的0x68地址(9054的中断设置和状态寄存器)中写0x0F010900。

其中关键是第11位(本地中断输入中断始能)和第8位(CPI中断始能)需置为1。

程序见实例VI。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 经管营销 > 经济市场

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2