数电数字钟新编.docx

上传人:b****2 文档编号:16903584 上传时间:2023-07-19 格式:DOCX 页数:7 大小:26.80KB
下载 相关 举报
数电数字钟新编.docx_第1页
第1页 / 共7页
数电数字钟新编.docx_第2页
第2页 / 共7页
数电数字钟新编.docx_第3页
第3页 / 共7页
数电数字钟新编.docx_第4页
第4页 / 共7页
数电数字钟新编.docx_第5页
第5页 / 共7页
数电数字钟新编.docx_第6页
第6页 / 共7页
数电数字钟新编.docx_第7页
第7页 / 共7页
亲,该文档总共7页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

数电数字钟新编.docx

《数电数字钟新编.docx》由会员分享,可在线阅读,更多相关《数电数字钟新编.docx(7页珍藏版)》请在冰点文库上搜索。

数电数字钟新编.docx

数电数字钟新编

北华大学

数字电子实习报告

报时式数字钟

姓名:

黄聪颖

班级:

信息14-2

学号:

院系:

电气信息工程学院

指导教师:

王宇鸿

实习日期:

2016年5月9日—13日

一、实习目的和任务……………………………………….…..…1

二、软件介绍…………………………………………….….….....2

三、电路设计……………………………………………..…….....4

四、原理图与仿真结果……………………………………….......5

五、实习体会…………………………………………………….15

六、参考文献…………………………………………………….16

七、教师评语…………………………………………………….17

一、实习目的和任务

1、实验目的

1)熟悉QuartusII软件的使用,初步掌握完成电路设计的基本步骤:

建立工程、输入、编译、仿真和下载。

2)初步掌握图形输入的基本方法。

3)掌握用数字可编程逻辑器件实现基本电路的设计过程和设计方法,如:

报时式数字钟的设计。

4)培养学生严谨、细致、实干的科学作风,要求必须独立完成设计电路、完成调试及总结报告的全过程。

2、实验任务

利用QuartusII设计并实现报时式数字钟。

要求如下:

1)数字钟能够显示24进制时、60进制分、60进制秒。

2)数字钟能够于每小时的59分51秒开始进行报时,往后每两秒进行一次报时,一共进行五次报时且最后一声发高音。

3)采用层次化、原理图设计、并对各模块进行功能仿真;

4)对所完成的设计进行编译、综合、编程下载,并完成硬件调试。

二、软件介绍

Altera的QuartusII可编程逻辑软件属于第四代PLD开发平台。

Altera是世界上最大可编程逻辑器件的供应商之一,QuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

QuartusII设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具,界面友好,使用便捷,在QuartusII上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载等整个设计流程。

(1)开放的界面

QuartusII具有开放性、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式(本书主要针对原理图输入的设计方法进行讲解),内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

(2)与结构无关

QuartusII支持Altera公司的MAX3000A系列、MAX7000系列、MAX9000系列、ACEX1K系列、APEX20K系列、APEXII系列、FLEX6000系列、FLEX10K系列,支持MAX7000/MAX3000等乘积项器件。

支持MAXIICPLD系列、Cyclone系列、CycloneII、StratixII系列、StratixGX系列等。

此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。

使用者无需精通器件内部的复杂结构,只需用自己熟悉的设计输入工具,如原理图或硬件描述语言进行设计。

QuartusII将这些设计转换为目标结构所要求的格式,设计处理一般在数分钟内完成。

(3)完全集成化

QuartusII的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。

(4)丰富的设计库

QuartusII提供丰富的库单元供设计者调用,支持IP核,包含了LPM/MegaFunction宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

QuartusII软件还允许设计人员添加自己认为有价值的宏功能模块,充分利用这些逻辑功能模块,可大大减少设计工作量。

(5)模块化工具

设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。

3、电路设计

1.启动QuartusII软件

2.启动File\New\NewQuartusIIPeoject菜单,建立新工程。

3.新建原理图文件,打开原理图编辑器,进入原理图编辑状态。

4.按照所设计的原理图在编辑区内画出,进行编译。

完整电路图如图所示。

5.按照实验箱外设对照表锁定管脚并下载到目标芯片。

(1)报时式数字钟电路设计

时钟电路由防抖动模块;分频模块;报时模块;时、分、秒计数模块;动态显示模块LED_display共4个模块组成。

各模块组成报时式数字钟电路如图一所示。

图一、报时式数字钟电路原理图

图二、原理图管脚分配图

4、原理图与仿真结果

(1)防抖动模块

图三、防抖动模块原理图

图四、防抖动模块电路封装图

(2)分频模块

图五、分频模块电路原理图

图六、分频模块电路封装图

(3)时间计数器模块

时间计数器模块由24进制时、60进制分、60进制秒计数器及一个数据选择器控制模块组成。

各模块连接如图七所示。

图七、时间计数器各模块电路连接图

1)24进制时计数器

24进制时计数器由两片74SL160并联使用置数法构成,电路原理图如图八所示。

图八、24进制时计数器电路原理图

2)60进制分计数器

60进制分计数器由两片74SL160并联使用置数法构成,电路原理图如图九所示。

图九、60进制分计数器原理图

3)60进制秒计数器

60进制秒计数器由两片74SL160并联使用置数法构成,电路原理图如图十所示。

图十、60进制秒计数器原理图

4)控制模块

控制模块控制整个时钟系统工作,调时,清零等功能,原理图如图十一。

图十一A、控制模块电路原理图

图十一B、控制模块电路封装图

(4)报时模块

报时模块用来控制高(CLK1K)、低(CLK500)音信号的输出

baoshi原理图如图十二所示:

图十二A、报时模块电路原理图

图十二B、报时模块电路封装图

(5)动态显示模块LED_display

LED_disply为6位数码管的动态显示电路,由六进制计数器(74161)、8选1数据和7段译码器(7448)组成。

其电路原理如图十三所示。

图十三A、动态显示模块原理图

图十三B、动态显示模块封装图

(6)实验结果

1)编译

正确连接各模块并进行编译,如图十四所示。

图十四、编译成果

2)管脚分配

整个项目的编译之后,将原理图中的输入输出引脚与实际的实验板上的核心芯片的具体引脚对应起来,这个步骤就是管脚分配,之后便可将原理图下载到硬件电路中进行实际验证。

具体引脚分配如图十五。

图十五、管脚分配

3)下载

将电路下载至试验箱。

下载结果如图十六。

图十六、下载成功

4)试验箱结果

连接硬件电路,将分配引脚的电路图编译之后下载到试验箱中,实物效果如下图所示:

图十六、实验结果显示

五、实习体会

这学期,我们进行了为期一周的数字电子技术实习,对于数字电子我们不仅开设课程、实验、这次还进行了实习。

这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。

了解了更多电子元件的工作原理,如:

74LS160、74LS161、74LS138、74LS148、74LS151、74LS194等。

同时也发现自己对数电知识和电子设计软件掌握得不够。

其次在此次设计过程中由于我们频繁的使用一电子设计软件如:

QuartusII。

因此使我进一步熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。

我认识到:

数电设计每一步都要细心认真,因为任何一步出错的话,都会导致后面的环节发生错误。

在设计过程中遇到了一些问题,尤其是在下载的时候,总出现失败,使得我查找各种相关资料,在增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能力。

这一课程设计,使我向更高的精神和知识层次迈向一大步。

在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。

六、参考文献

[1]周维芳白庆华曲萍萍主编.电子技术实验.中国广播影视出版社,2014年8月.

[2]康光华主编.电子技术基础.数字部分(第六版).北京:

高等教育出版社,2013.

[3]王辉主编.MaxplusII和QuartusII应用与开发技巧[M]北京:

机械工业出版社,2009.

七、教师评语(单起一页)

教师评语:

成绩:

教师签字:

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > PPT模板 > 图表模板

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2