EDA课程设计报告智力竞赛抢答器.docx

上传人:b****0 文档编号:17633723 上传时间:2023-07-27 格式:DOCX 页数:21 大小:253.24KB
下载 相关 举报
EDA课程设计报告智力竞赛抢答器.docx_第1页
第1页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第2页
第2页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第3页
第3页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第4页
第4页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第5页
第5页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第6页
第6页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第7页
第7页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第8页
第8页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第9页
第9页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第10页
第10页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第11页
第11页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第12页
第12页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第13页
第13页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第14页
第14页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第15页
第15页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第16页
第16页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第17页
第17页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第18页
第18页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第19页
第19页 / 共21页
EDA课程设计报告智力竞赛抢答器.docx_第20页
第20页 / 共21页
亲,该文档总共21页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

EDA课程设计报告智力竞赛抢答器.docx

《EDA课程设计报告智力竞赛抢答器.docx》由会员分享,可在线阅读,更多相关《EDA课程设计报告智力竞赛抢答器.docx(21页珍藏版)》请在冰点文库上搜索。

EDA课程设计报告智力竞赛抢答器.docx

EDA课程设计报告智力竞赛抢答器

智力竞赛抢答器

[摘要]:

本课程设计分为主体电路和扩展电路两部分共同实现抢答器的锁存、显示与报警功能。

在本次设计中,系统开发平台Max+plusⅡ,硬件描述语言是VHDL。

竞赛者可以分为8组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。

当第一个人按下按键后,则在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其不起作用。

若抢答时间内无人抢答,则报警灯亮。

回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。

并且依据设计方案和设计平台完成了程序编写和程序调试,通过运行程序及时序波形的仿真有效验证了设计的正确性,初步实现了设计目标。

[关键词]:

锁存显示抢答器Max+plusⅡ

QuizResponder

[abstract]:

Thiscoursedesignisdividedintotwomaincircuitandexpansioncircuitrealizedpartlyjointinverterlatchresponder,displayandalarmfunction.Inthisdesign,systemdevelopingplatformforMax+plusⅡ,hardwaredescriptionlanguageisVHDL.Competitorsmaybedividedintoeightgroups,viestoanswerfirstwheneachtothehostputsforwardtheproblemsintheshortestpossibletimetomakejudgmentsandpressthebuttonsviestoanswerthequestion.Whenthefirstmanpressbuttons,thenonscreendisplaysupervisornumber,thecorrespondinglights,andothergroupscircuitwillbuttonsblockade,makeitdoesn’twork.Ifrespondertimenocontest,thealarmlight.Answersquestions,byahostwillrestoreallkeysandstartagainnextcontest.Andaccordingtothedesignschemeanddesignplatformcompletedprogrammingandprogramtest,throughtoruntheprogramintimesequencewaveformsimulationverifiedeffectivelythecorrectnessofdesignandthenrealizedthedesigngoal.

[Keywords]:

latchdisplayScareansweringmanometersMax+plusⅡ

 

1绪论

抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器,通过抢答者的指示灯显示、数码显示和警示显示等手段指示出第一抢答者。

随着现代科学技术的发展,抢答器械也由以前的各种传统式抢答器演变到现在的数字式抢答器。

抢答器的应用广泛,工厂、学校和电视台等单位常举办各种智力竞赛,抢答器是必要设备。

在各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观判断失误造成比赛的不公平性。

从而达不到抢答的真正效果,为了解决此类问题,现代科学技术利用一些数字集成电路组成,数字抢答器能够弥补以前的诸多不足之处,以提高信号接收的快速性、精确性、应用到日常生活中能够给人们带来更大的方便,以提高效率。

这次实验我们将使用EDA技术进行操作,那么用EDA技术的设计优势的优势是什么呢?

(1)使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能。

(2)在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断推出。

(3)电子技术全方位进入EDA领域,除了日益成熟的数字技术外,传统的电路系统设计建模理念发生重大的变化:

软件无线电技术的出席那,数字信号处理和图像处理的全硬件是吸纳方案的普遍接受,软硬件技术的进一步融合等。

(4)EDA是的电子技术领域各学科的界限更加模糊,更加互为包容,如模拟与数字、软件与硬件、系统与器件、ASIC与FPGA、行为与结构等。

(5)更大规模的FPGA和CPLD器件的不断推出。

(6)基于EDA工具、用于ASIC设计的标准单元已涵盖大规模电子系统及复杂IP模块。

(7)软硬件IP核在电子兴业的产业领域、技术领域和设计应用领域得到进一步确认和广泛应用。

(8)SoC高效低成本设计技术的成熟。

(9)系统级、行为验证级硬件描述语言的出现,使复杂的电子系统的设计和验证趋于简单。

这次实验我们会结合Max+plusⅡ软件进行程序的输入、调试、仿真下载,Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。

2设计流程

VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。

本系统的设计就是采用VHDL硬件描述语言编程,基于MAX-PLUSII平台进行编译和仿真来实现的,其采用的模块化、逐步细化的设计方法有利于系统的分工合作,并且能够及早发现各子模块及系统中的错误,提高系统设计的效率。

在电子产品的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方面,EDA技术具有一定的优势。

所以本次设计的抢答器抛弃了传统的设计方法,选择了采用主流的EDA技术进行设计。

在设计方面则用了4个模块,分别是锁存器、与门电路、编码器、译码器,输出端分别接上了蜂鸣器和数码显示管。

下面对本课程设计的原理做一下介绍。

首先,输入端接入的是八位输入信号S0~S7,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。

外部电路则显示组号和报警。

接通电源时,节目主持人将开关置于“复位”位置,抢答器处于禁止工作状态,编号显示器不显示。

当主持人松开按钮时,开始抢答,这时数码管将显示第一位抢答选手的编号,其后选手的抢答将被禁止。

回答完毕后,主持人再次“复位”,如此循环。

当选手按动抢答键时,抢答器要完成以下四项工作:

①优先级电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;②扬声器发出短暂声响,提醒节目主持人注意;③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;④编号显示保持到主持人将系统清零为止。

当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

 

3设计

3.1硬件设计

本课题可以使用硬件描述语言(VHDL语言)实现。

实现的思路可以用下面的框图来说明:

 

图3-1基于VHDL语言实现数字抢答器框图

整个系统分为以下几个模块来分别实现:

1.抢答鉴别模块:

它的功能是鉴别八组中是那组抢答成功并且把抢答成功的组别信号输出给锁存模块。

2.复位控制模块:

给节目主持人设置一个控制开关,用来控制系统的清零和抢答的开始。

3.锁存模块:

该电路的作用是当第一个抢答者抢答后,对第一个抢答者的组别进行锁存并显示在数码管上,后面的抢答者信号全都无响应,直到主持人按下复位键。

4.显示报警模块:

就是把各个模块的输入的不同信号经过译码成BCD码然后直接在数码管上显示,还可以加上蜂鸣器的声音,更能给观众一个准确、简明的数字。

3.1.1系统的设计平台概述

此次设计是按照"自顶向下"的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(VHDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。

3.1.2硬件平台Max-plusⅡ概述

Max-plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。

Max-plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

在Max-plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。

Max-plusⅡ开发系统的特点:

1、开放的界面

Max-plusⅡ支持与Cadence,Exemplarlogic,MentorGraphics,Simplicity,Viewlogic和其它公司所提供的EDA工具接口。

2、与结构无关

Max-plusⅡ系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。

3、完全集成化

Max-plusⅡ的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。

4、丰富的设计库

Max-plusⅡ提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。

5、模块化工具

设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。

6、硬件描述语言(HDL)

Max-plusⅡ软件支持各种HDL设计输入选项,包括VHDL、VerilogHDL和Altera自己的硬件描述语言AHDL。

3.1.3抢答器系统设计要求

一般来说,设计一台数字抢答器,必须能够准确判断出第一位抢答者,并且通过数显、蜂鸣这些途径能让人们很容易得知谁是抢答成功者,并设置一定的回答限制时间,让抢答者在规定时间内答题,主持人根据答题结果控制抢答器的清零复位,掌握比赛的进程。

所以我在设计8路数字抢答器的模块需要满足鉴别、抢答报警、回答倒计时、数显等功能,具体设计要求如下:

(1)抢答器可容纳八组选手,并为每组选手设置一个按钮供抢答者使用;为主持人设置一个控制按钮,用来控制系统清零(组别显示数码管灭灯)和抢答开始;

(2)电路具有对第一抢答信号的锁存、鉴别和显示等功能。

在主持人将系统复位并发出抢答指令后,若参赛选手按下抢答按钮,则该组别的信号立即被锁存,并在组别显示器上显示该组别,同时扬声器也给出音响提示,此时,电路具备自锁功能,使其他抢答按钮不起作用。

(3)抢答器具有限时回答问题的功能,当主持人启动倒计时开始键后,要求计时器采用倒计时,同时最后计时器倒计时到00时扬声器会发出声响提示;

3.2软件设计

3.2.1子模块的设计思想和实现

根据对抢答器的功能要求,把要设计的系统划分为五个功能模块:

抢答信号鉴别模块、锁存模块、编码模块、译码显示模块和扬声器控制电路,具体的说,显示模块又包含最先抢答的组别显示电路、计时值显示电路。

3.2.2鉴别模块的设计与实现

抢答鉴别模块用来准确直观地判断S0、S1、S2、S3、S4、S5、S6、S7八组抢答者谁最先按下按钮,并为显示端送出信号,通过数显和蜂鸣等途径使观众能够清楚地知道是哪一组抢答成功,是整个系统的核心部分。

同时组别显示端为下一模块输入信号,以方便主持人为该组抢答成功者进行下一步的操作。

抢答鉴别模块的元件图如下图所:

图3-2-1鉴别模块原件图

引脚作用:

输入信号:

各组的抢答按钮S0、S1、S2、S3、S4、S5、S6、S7,系统清零信号S,反馈使能端EN。

输出信号:

各组的抢答按钮显示端q0,q1,q2,q3,q4,q5,q6,q7。

工作原理:

第一个按下键的小组,抢答信号判定模块QDJB通过缓冲输出信号的反馈将本参赛组抢先按下按键的信号锁存,并且以异步清零的方式将其他参赛组的按键信号屏蔽,显示组别直到主持人对系统进行清零操作时为止。

当CLR=1时系统复位,抢答被屏蔽;当CLR=0时,即低电平有效,且OE为低电平时,使其进入抢答鉴别状态,到CLK的上升沿到来时,以S1组抢答成功为例,当输入信号为S0=1,S1=0,S2=0,S3=0,S4=0,S5=0,S6=0,S7=0,即为鉴别出S0组抢答成功,同时屏蔽其他组的输入信号,以免发生错误。

同理其他组别抢答成功也是这样的鉴别过程。

源程序如下所示:

IF(S='1')THEN

Q0<='0';Q1<='0';Q2<='0';Q3<='0';Q4<='0';Q5<='0';Q6<='0';Q7<='0';--当清零端有效时,所有的输出赋值为0

ELSIF(EN='0')THEN

Q0<=S0;Q1<=S1;Q2<=S2;Q3<=S3;Q4<=S4;Q5<=S5;Q6<=S6;Q7<=S7;--当清零无效,且OE为0时,将输入赋给输出

原理框图如下:

 

图3-2-2抢答鉴别模块原理图

该模块在Max-plusII软件中的仿真结果图如下图:

图3-2-3鉴别模块仿真图

3.2.3锁存反馈模块的设计与实现

当抢答鉴别模块成功将各个抢答组的抢答信号输出后后,必须由锁存电路来将抢答信号中最先抢答的组别锁定,禁止其他组的信号显示出来,这个模块是整个电路中最重要的地方,这个模块直接影响主持人对比赛公平进行的判断。

锁存模块的元件图如下图所示:

图3-2-4锁存器模块元件图

引脚作用:

输入信号:

D0、D1、D2、D3、D4、D5、D6、D7是各组的抢答信号。

输出信号:

Q是锁存反馈信号。

工作原理:

当D0、D1、D2、D3、D4、D5、D6、D7各组的抢答信号进入锁存模块时,在锁存模块中,对输入的各个信号的信息进行或的关系运算,将计算结果输给Q,通过Q向外输出。

最后将Q值反馈给C81模块,对本次的抢答进行锁存,并将锁存结果输出给下一级电路。

部分源程序如下所示:

Ifd0='1'ord1='1'ord2='1'ord3='1'ord4='1'ord5='1'ord6='1'ord7='1'thenq<='1';--当任何一个输入为1时,将1赋给q

Elseq<='0';--当输入全为0时,将0赋给q

Endif;

该模块在Max-plusII软件中的仿真结果图如下图:

图3-2-5锁存反馈模块仿真图

3.2.4编码模块的设计与实现

部分源程序如下所示:

TEMP:

=Q0&Q1Q2&Q3&Q4&Q5&Q6&Q7;

CASETEMPI

WHEN"10000000"=>M<="0001";--当输入信号为10000000的时候,将信号编码成0001,并赋给M。

WHEN"01000000"=>M<="0010";--当输入信号为01000000的时候,将信号编码成0010,并赋给M。

WHEN"00100000"=>M<="0011";--当输入信号为00100000的时候,将信号编码成0011,并赋给M。

WHEN"00010000"=>M<="0100";--当输入信号为00010000的时候,将信号编码成0100,并赋给M。

WHEN"00001000"=>M<="0101";--当输入信号为00001000的时候,将信号编码成0101,并赋给M。

WHEN"00000100"=>M<="0110";--当输入信号为00000100的时候,将信号编码成0110,并赋给M。

WHEN"00000010"=>M<="0111";--当输入信号为00000010的时候,将信号编码成0111,并赋给M。

WHEN"00000001"=>M<="1000";--当输入信号为00000001的时候,将信号编码成1000,并赋给M。

WHENOTHERS=>M<="1111";--当输入信号不是以上几种形式的时候,将信号编码成1111,并赋给M。

ENDCASE;

EN<=TEMP(7)ORTEMP(6)ORTEMP(5)ORTEMP(4)ORTEMP(3)ORTEMP

(2)ORTEMP

(1)ORTEMP(0)ORCLR;--在同一时刻,将八个输入信号与CLR信号进行或的关系,然后将值赋予EN

该模块在Max-plusII软件中的仿真结果图如下图:

图3-2-6编码模块仿真图

3.2.5声音报警模块的设计与实现

当某组的选手抢答成功之后,为了让主持人第一时间反应到抢答的成功,系统需要设置一个声响报警装置,来提示主持人对其他选手的抢答信号进行屏蔽。

该模块在系统中是十分必要的,声音响起,可以节约不少时间,为比赛的顺利进行争取时间。

声音报警模块的元件图如下图所示:

图3-2-7发声模块的元件图

引脚作用

输入信号:

时钟信号CLK,复位端S,声音响起的使能端EN。

输出信号:

声音响起的输出端SOUND。

工作原理:

当时钟脉冲的上升沿到来,并且高电平有效时,EN端为高电平,CLR端的信号是低电平时,SOUND端输出高电平,即声音响起;当CLR为高电平时屏蔽一切EN端的信号,SOUND端输出低电平,声音不响起。

原理框图如下图:

 

图3-2-8发声模块的原理框图

部分源程序如下所示:

IF(CLK'EVENTANDCLK='1')THEN

IF(S='0'ANDEN='1')THEN--当清零有效且使能端EN为高电平时

SOUND<='1';--声音响起

ELSE

SOUND<='0';--声音不响起

ENDIF;

ENDIF;

该模块在Max-plusII软件中的仿真结果图如下图:

图3-2-9声音报警模块仿真图

3.2.6组别译码显示模块的设计与实现

当抢答成功后,编码模块将抢答信息编码成四位二进制数,传送给译码显示模块,而译码显示模块的功能就是将四位二进制数通过数码管显示出来,以便于主持人判断出是哪一组抢答成功,且公布给每个抢答组。

该模块的元件图如下图所示:

图3-2-10组别译码显示模块元件图

引脚作用:

输入信号:

编码信号输入端M[3..0]。

输出信号:

数码管显示输出端BCD[6..0]。

工作原理:

译码显示模块的功能是将四位二进制BCD编码转换成七段二进制数字,以阿拉伯数字的形式输出在数码管上,数码管它是由a、b、c、d、e、f、g这七段组成,它根据各个模块的输出信号来决定这七段中那些显示那些不显示最终得到的数字就是需要显示的结果,能给观众一个准确、简明的数字。

部分源程序如下所示:

BEGIN

CASEMIS

WHEN"0000"=>BCD<="00111111";--当输入信号为0显示0。

WHEN"0001"=>BCD<="00000110";--当输入信号为1显示为1。

WHEN"0010"=>BCD<="01011011";--当输入信号为2显示为2。

WHEN"0011"=>BCD<="01001111";--当输入信号为3显示为3。

WHEN"0100"=>BCD<="01100110";--当输入信号为4显示为4。

WHEN"0101"=>BCD<="01101101";--当输入信号为5显示为5。

WHEN"0110"=>BCD<="01111101";--当输入信号为6显示为6。

WHEN"0111"=>BCD<="00000111";--当输入信号为7显示为7。

WHEN"1000"=>BCD<="01111111";--当输入信号为8显示为8。

WHEN"1001"=>BCD<="01101111";--当输入信号为9显示为9。

WHENOTHERS=>BCD<="00000000";--如果输入信号不是上面几种情况则数码管不显示。

该模块在Max-plusII软件中的仿真结果图如下图:

图3-2-11译码显示模块仿真图

 

4调试与操作说明

4.1抢答器的系统实现

单独模块只有彼此联系起来构成一个完整的系统,才能实现其功能,这个过程有两种实现方法:

①元件例化。

也是用编程的方式将它们各个程序、信号、输入输出之间的关系用VHDL语言来叙述清楚,还关系到程序的调用问题,需要设计者思路清晰,设计合理;②元器件图示连线。

这种连线方法思路清晰可见,而且用的时候很简单方便,出现错误也很好检查。

在设计中选择的是这种方法。

通过总的顶层元件图可以很清晰的看到模块连接的原理。

下图就是各个元件连接在一起的的总的系统图。

图4-1顶层元件图

由于实验室的EDA实验箱按键是低电平有效,所以在每个输入端口前加上一个非门。

系统的总仿真图如下:

图4-2系统总体仿真图

4.2结果分析

由仿真波形可以看出,当S0先抢答之后,系统便进入锁存状态,其他选手按下抢答键以后系统都不会改变输出结果,Speaker遇到CLK上升沿的时候变成高电平,开始发声。

数字显示BCD显示当前抢答选手的编号。

当主持人按下复位按钮S以后,显示器重新显示0,蜂鸣器停止发声,等待下一轮抢答开始。

5课程设计总结与体会

通过此次课程设计,我深深地感受到了自己所学到知识的有限,明白了只学好课本上的知识是不够的,要通过图书馆和互联网等各种渠道来扩充自己的知识。

在设计的过程中我曾经遇到过问题。

通过向比自己成绩好的同学请教以及到网上查找一些相关的资料,知道了出现错误的地方,加以改正错误。

错误并没有使我轻易放弃,而使我从中学习到了如何对待遇到的困难,进一步培养了学习态度和耐心。

在设计的过程中我发现自己有许多的不足,必需向同学请教才能解决问题,促进了自己学习积极性。

所有的这些心得会对我以后的学习和工作有帮助作用,衷心感谢杨老师在实验过程中给我的谆谆教导;衷心感谢学校给我提供这次课程设计的机会。

在本次课程设计中,感谢老师对我们的严格要求,使我们学到了很多实用的知识,增强我们的自信心,同时也使自己明白自己存在着很大的不足,认识到了自己的缺点。

在以后的学习中,我会加强理论和实践的结合,不断完善自己,使自己的综合能力水平迈向一个新的台阶。

 

致谢

感谢学校给我们一个良好的实验环境和实践机会,虽然这次课程设计报告还有很多不足之处,但是在杨老师的指导和同学们的帮助之下能够顺利完成,既锻炼了自己的动手能力,也增强了解决问题的能力

参考文献

[1]李中发.数字电子技术(第二版).北京:

中国水利水电出版社,2007

[2]刘守义,钟苏.数字电子技术.西安:

西安电子科技大学出版社,2001

[3]祝慧芳,黄洁,姚四改,数字电子技术基础.

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工作范文 > 行政公文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2