超前进位加法器的设计.docx

上传人:b****2 文档编号:18214095 上传时间:2023-08-13 格式:DOCX 页数:14 大小:186.91KB
下载 相关 举报
超前进位加法器的设计.docx_第1页
第1页 / 共14页
超前进位加法器的设计.docx_第2页
第2页 / 共14页
超前进位加法器的设计.docx_第3页
第3页 / 共14页
超前进位加法器的设计.docx_第4页
第4页 / 共14页
超前进位加法器的设计.docx_第5页
第5页 / 共14页
超前进位加法器的设计.docx_第6页
第6页 / 共14页
超前进位加法器的设计.docx_第7页
第7页 / 共14页
超前进位加法器的设计.docx_第8页
第8页 / 共14页
超前进位加法器的设计.docx_第9页
第9页 / 共14页
超前进位加法器的设计.docx_第10页
第10页 / 共14页
超前进位加法器的设计.docx_第11页
第11页 / 共14页
超前进位加法器的设计.docx_第12页
第12页 / 共14页
超前进位加法器的设计.docx_第13页
第13页 / 共14页
超前进位加法器的设计.docx_第14页
第14页 / 共14页
亲,该文档总共14页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

超前进位加法器的设计.docx

《超前进位加法器的设计.docx》由会员分享,可在线阅读,更多相关《超前进位加法器的设计.docx(14页珍藏版)》请在冰点文库上搜索。

超前进位加法器的设计.docx

超前进位加法器的设计

沈阳航空航天大学

 

课程设计报告

 

课程设计名称:

计算机组成原理课程设计

课程设计题目:

超前进位加法器的设计

 

院(系):

计算机学院

专业:

计算机科学与技术

班级:

学号:

姓名:

指导教师:

完成日期:

2014年01月10日

目录

第1章总体设计方案2

1.1设计原理2

1.2设计思路3

1.3设计环境3

第2章详细设计方案4

2.1顶层方案图的设计与实现4

2.1.1顶层方案的整体设计4

2.1.2元器件选择和引脚锁定5

2.2功能模块的设计与实现6

2.2.1八位超前进位加法器的设计与实现6

2.3功能仿真调试8

第3章编程下载与硬件测试10

3.1编程下载10

3.2硬件测试及结果分析10

参考文献12

附录13

第1章总体设计方案

1.1设计原理

将n个全加器相连可得n位加法器,但是加法时间较长。

解决的方法之一是采用“超前进位产生电路”来同时形成各位进位,从而实现快速加法。

超前进位产生电路是根据各位进位的形成条件来实现的。

四位超前进位加法器的设计:

①只要满足下述两个条件中的任一个,就可形成C1,

(1)X1,Y1均为1;

(2)X1,Y1任一个为1,且进位C0为1。

由此,可以得到C1的表达式为:

C1=X1*Y1+(X1+Y1)*C0;

②只要满足下述条件中任一个即可形成C2,

(1)X2,Y2均为1;

(2)X2,Y2任一为1,且X1,Y1均为1;(3)X2,Y2任一为1,同时X1,Y1任一为1,且C0为1。

由此,可以得到C2的表达式为:

C2=X2*Y2+(X2+Y2)*X1*Y1+(X2+Y2)*(X1+Y1)*C0;

③同理,有C3,C4表达式如下:

C3=X3*Y3+(X3+Y3)*X2*Y2+(X3+Y3)*(X2+Y2)*X1*Y1

+(X3+Y3)*(X2+Y2)*(X1+Y1)*C0;

C4=X4*Y4+(X4+Y4)*X3*Y3+(X4+Y4)*(X3+Y3)*X2*Y2

+(X4+Y4)*(X3+Y3)*(X2+Y2)*X1*Y1+(X4+Y4)*(X3+Y3)*(X2+Y2)*(X1+Y1)*C0。

引入进位传递函数Pi和进位产生函数Gi。

它们的定义为:

Pi=Xi+Yi

Gi=Xi*Yi

P1的意义是:

当X1,Y1中有一个为1时,若有进位输入,则本位向高位传送此进位,这个进位可以看成是低位进位越过本位直接向高位传递的。

G1的意义是:

当X1,Y1均为1时,不管有无进位输入,本位定会产生向高位的进位。

将Pi、Gi代入C1到C4式子中,便可得到:

C1=G1+P1*C0;式(1.1.1)

C2=G2+P2*G1+P2*P1*C0;式(1.1.2)

C3=G3+P3*G2+P3*P2*G1+P3*P2*P1*C0;式(1.1.3)

C4=G4+P4*G3+P4*P3*G2+P4*P3*P2*G1+P4*P3*P2*P1*C0;式(1.1.4)

1.2设计思路

八位超前进位加法器的设计:

一个八位超前进位加法器,它可以由2个四位超前进位加法器模块来构成。

四位超前进位加法器采用Schematic设计输入方式,顶层的八位超前进位加法器采用原理图设计输入方式。

在四位超前进位加法器的设计中,运用门电路进行控制,并且给上述设计进行定位,所设计的Schematic程序电路经过编译、检测、调试过后生成.bit文件并且下载到XCV200可编程逻辑芯片中,经过COP2000测试并验证设计的正确性。

1.3设计环境

在设计超前进位加法器过程中,采用XilinxFoundationF3.1可编程器件开发软件,对于硬件,在实验设计过程中,用到了伟福COP2000型计算机组成原理实验仪、XCV200实验板、微机。

第2章详细设计方案

2.1顶层方案图的设计与实现

顶层方案图主要实现一位全加器的逻辑功能,采用原理图设计输入方式完成,超前进位加法器电路的是实现基于XCV200可编程逻辑芯片。

在完成原理图的功能设计后,经过检测调试,把输入/输出信号通过引脚编号安排到XCV200指定的引脚上去,最终实现芯片的引脚锁定。

2.1.1顶层方案的整体设计

顶层图形文件主要由2个四位超前进位加法器构成,总共17位输入,9位输出。

顶层图形文件由XilinxFoundationF3.1软件编辑得到相应的模块,顶层图形的整体设计如下图2.1所示:

图2.1八位超前进位加法器整体设计图

2.1.2元器件选择和引脚锁定

(1)元器件的选择

由于在设计的过程中,硬件设计环境是基于伟福COP2000型计算机组成原理实验仪和XCV200实验板,故采用的目标芯片为XilinxXCV200可编程逻辑芯片。

(2)引脚锁定

在XilinxFoundationF3.1上面完成软件的设计之后,把顶层图形文件中的输入/输出信号用引脚编号安排到XilinxXCV200芯片指定的引脚上去,从而实现芯片的设计电路的引脚锁定,各信号及XilinxXCV200芯片引脚对应关系如下表2.1所示:

表2.1信号和芯片引脚对应关

输入信号

XCV200芯片引脚

输出信号

XCV200芯片引脚

U1A1

94

U1S1

147

U1A2

95

U1S2

152

U1A3

96

U1S3

178

U1A4

97

U1S4

184

U2A1

100

U2S1

185

U2A2

101

U2S2

203

U2A3

102

U2S3

111

U2A4

103

U2S4

110

U1B1

79

U2C4

78

U1B2

80

U1B3

81

U1B4

82

U2B1

84

U2B2

85

U2B3

86

U2B4

87

U1C0

63

2.2功能模块的设计与实现

在八位超前进位加法器和四位超前进位加法器的设计中均是采用Schematic设计输入方式,而在四位超前进位加法器的设计中是由一列的门电路构成,最后在由2个四位超前进位加法器模块构成8位超前进位加法器。

可以扩展开来,16位,32位超前进位加法器原理类似8位的设计原理。

2.2.1八位超前进位加法器的设计与实现

(1)设计描述

根据上面在1.1中讲述的四位超前进位加法器的设计原理那样,四位超前进位加法器的实现是建立在进位C1,C2,C3,C4的基础之上的。

所以,由于上面第1.1节中关于进位C1,C2,C3,C4已经进位讲述,根据式(1.1.1),式(1.1.2),式(1.1.3)式(1.1.4)可以画出四位超前进位加法器的逻辑图。

四位超前进位加法器的9个输入端分别为:

A1,A2,A3,A4,B1,B2,B3,B4,C0;5个输出端分别为:

S1,S2,S3,S4,C4;其高低位顺序是从低到高,A1到A4,B1到B4,S1到S4,C0,C4是进位。

经过门电路的组合之后形成,四位超前进位加法器(Schematic程序),在经过封装,可以得到一个比较简洁的元器件,然后可以自己命名。

(2)创建Schematic程序的电路图

四位超前进位加法器的完整电路设计图如下图2.2所示:

左面为输入端口,右面5个为输出端口。

图2.2

八位超前进位加法器的设计电路图如下图2.3所示:

图2.3

2.3功能仿真调试

对所创建的电路图进行功能仿真,以便检测其正确性,可以采用Xilinx编译器中的Simulator模块实现。

如下图2.4所示:

其中U1C0为0,U1的A4A3A2A1为1111,B4B3B2B1为0000,U2的A4A3A2A1为1111,B4B3B2B1为0000,最后运算的结果为,U1的S4S3S2S1为1111,C4为0,U2的S4S3S2S1为1111,C4为0。

图2.4

仿真图说明:

前面的9位依次是U1的C0,A1到A4,B1到B4,进位C4输出S1到S4,其余的是U2的输入输出,内容与U1相同。

为了验证其正确性,重新输入实验数据,再次进行检测。

如下图2.5所示:

其中U1的A4A3A2A1为1111,B4B3B2B1为0001,C0为0,计算结果S4S3S2S1为0000,C4为1;U2的A4A3A2A1为1111,B4B3B2B1为0000,计算结果S4S3S2S1为0000,C4为1.

图2.5

经过以上这两次的检测,对于所设计的八位超前进位加法器电路图是完全正确的,计算结果是正确的,符合设计要求。

第3章编程下载与硬件测试

3.1编程下载

在设计完程序电路,经过检测没有错误之后,就可以利用COP2000仿真软件的编程下载功能,将得到111.bit文件下载到XCV200实验板的XCV200可编程逻辑芯片中。

如果不能正确下载,需要重新连接电路图,然后重新进行检测,知道能够下载为止。

3.2硬件测试及结果分析

利用XCV200实验板进行硬件功能测试。

八位超前进位加法器的输入数据通过XCV200实验板的输入开关实现,输出数据通过XCV200实验板的LED指示灯实现,其对应关系如表3.1所示。

表3.1XCV200实验板信号对应关系

XCV200芯片引脚信号

XCV200实验板

A1~A4(U1)A1~A4(U2)

K0

B1~B4(U1)B1~B4(U2)

K1

C0(U1)

K2:

0

S1~S4(U1)S1~S4(U2)

A0~A7

C4(U2)

B0

表3.1中的输入参数作为输入数据,逐个测试输出结果,即用XCV200实验板的开关控制相应的输入数据,同时观察红灯和绿灯的亮灭,如果灯亮,说明输出1,灯灭则输出0。

具体操作结果如下图3.1。

 

图3.1

硬件测试说明:

A加数输入11111100,B加数输入11000000,C0输入0,相加结果为110111100,即C4为1,输出结果为10111100,与上图3.1现象相符,结果正确。

参考文献

[1]李景华.可编程程逻辑器件与EDA技术[M].北京:

东北大学出版社,2001

[2]范延滨.微型计算机系统原理、接口与EDA设计技术[M].北京:

北京邮电大学出版社,2006

[3]王爱英.计算机组成与结构(第4版)[M].北京:

清华大学出版社,2006

[4]王冠.VerilogHDL与数字电路设计[M].北京:

机械工业出版社,2005

[5]江国强.EAD技术习题与实验[M].北京:

电子工业出版社,2005

[6]杜建国.VerilogHDL硬件描述语言[M].北京:

国防工业出版社,2004

[7]王爱英.计算机组成与结构[M].北京:

清华大学出版社,2007

[8]唐朔飞.计算机组成原理[M]北京:

高等教育出版社,2008

附录

八位超前进位加法器的完整设计图:

四位超前进位加法器的设计电路图:

 

四位超前进位加法器的内部设计电路图:

 

课程设计总结:

在这次的课程设计中,我学到了很多。

第一次用XilinxFoundationF3.1,很多东西都不懂,不断地去请教同学,同时自己也不断地去尝试,总算是慢慢的知道了该如何去建工程,如何去定义一个新的芯片以及内部逻辑结构。

一个东西只能不断地学习,不断地去应用你才能掌握它,一个人不可能一开始什么都会,所以我们要去问,去练。

同时也明白到你以前掌握的再牢靠的知识,不经常复习终究会忘的,温故而知新,在学习新知识的同时也要不断地去复习我们已经学过的知识。

理论永远只是存在于书本上的东西,不具体操作它,永远也不会深刻认识到它的原理和功能,学以致用。

指导教师评语:

 

指导教师(签字):

      年月日

课程设计成绩

 

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2