第十三章Quartus II功能及应用811.docx

上传人:b****0 文档编号:18248714 上传时间:2023-08-14 格式:DOCX 页数:23 大小:916.48KB
下载 相关 举报
第十三章Quartus II功能及应用811.docx_第1页
第1页 / 共23页
第十三章Quartus II功能及应用811.docx_第2页
第2页 / 共23页
第十三章Quartus II功能及应用811.docx_第3页
第3页 / 共23页
第十三章Quartus II功能及应用811.docx_第4页
第4页 / 共23页
第十三章Quartus II功能及应用811.docx_第5页
第5页 / 共23页
第十三章Quartus II功能及应用811.docx_第6页
第6页 / 共23页
第十三章Quartus II功能及应用811.docx_第7页
第7页 / 共23页
第十三章Quartus II功能及应用811.docx_第8页
第8页 / 共23页
第十三章Quartus II功能及应用811.docx_第9页
第9页 / 共23页
第十三章Quartus II功能及应用811.docx_第10页
第10页 / 共23页
第十三章Quartus II功能及应用811.docx_第11页
第11页 / 共23页
第十三章Quartus II功能及应用811.docx_第12页
第12页 / 共23页
第十三章Quartus II功能及应用811.docx_第13页
第13页 / 共23页
第十三章Quartus II功能及应用811.docx_第14页
第14页 / 共23页
第十三章Quartus II功能及应用811.docx_第15页
第15页 / 共23页
第十三章Quartus II功能及应用811.docx_第16页
第16页 / 共23页
第十三章Quartus II功能及应用811.docx_第17页
第17页 / 共23页
第十三章Quartus II功能及应用811.docx_第18页
第18页 / 共23页
第十三章Quartus II功能及应用811.docx_第19页
第19页 / 共23页
第十三章Quartus II功能及应用811.docx_第20页
第20页 / 共23页
亲,该文档总共23页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

第十三章Quartus II功能及应用811.docx

《第十三章Quartus II功能及应用811.docx》由会员分享,可在线阅读,更多相关《第十三章Quartus II功能及应用811.docx(23页珍藏版)》请在冰点文库上搜索。

第十三章Quartus II功能及应用811.docx

第十三章QuartusII功能及应用811

第十三章Quartus

功能及应用

现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA(ElectronicDesignAutomation)技术。

由于它是一门迅速发展的新技术,涉及面广,内容丰富,理解各异,目前尚无统一的看法。

Quartus

是美国Altera公司自行设计的第四代PLD开发软件可以完成PLD的设计输入、逻辑综合、布局与布线、仿真、时序分析、器件编程的全过程同时还支持SOPC(可编程片上系统)设计开发,是继MAX+plus

后的新一代开发工具,适合大规模FPGA的开发。

它是CPLD/FPGA集成化开发软件,具有完善的可视化设计环境。

QuartusⅡ提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。

能够支持逻辑门数在百万门以上的逻辑器件的开发,并且为第三方工具提供了无缝接口。

该软件支持的器件有:

StratixⅡ、StratixGX、Stratix、Mercury、MAX3000A、MAX7000B、MAX7000S、MAX7000AE、MAXⅡ、FLEX6000、FLEX10K、FLEX10KA、FLEX10KE、Cyclone、CycloneⅡ、APEXⅡ、APEX20KC、APEX20KE和ACEX1K系列。

QuartusⅡ软件包的编程器是系统的核心,提供功能强大的设计处理,设计者可以添加特定的约束条件来提高芯片的利用率。

Quartus

提供了更优化的综合和适配功能,改善了对第三方仿真和时域分析工具的支持。

Quartus

还包括DSPBuilder开发工具,支持系统级的开发,支持Niso

入式核,IP核和用户定义逻辑等。

13.1Quartus

功能简介

13.1.1设计输入(DesignEntry)

设计输入是将设计者所设计的电路已开发软件要求的某种形式表达出来,并输入到相应软件中的过程。

设计输入有多种表达方式,最常用的是原理图方式和HDL文本方式两种。

1.原理图输入

原理图(Schematic)是图形化的表达方式,使用元件符号和连线来描述设计。

其特点昰适合描述连接关系和接口关系,而描述逻辑功能则比较烦琐。

原理图输入比较直观,尤其对表现结构,模块化结构更方便。

但他要求设计工具提供必要的原件库或逻辑宏单元。

如果输入的是较复杂的逻辑或元件库中不存在的模型。

采用原理图输入方式往往很不方便,此外,原理图的方式的设计可重用性,可移植性也差一些。

2.HDL文本输入

硬件描述语言(HDL)是一种用文本形式来描述和设计电路的语言。

可利用HDL语言来描述自己的设计,然后利用EDA工具进行综合和仿真,最后变为某种目标文件,再用ASIC或FPGA具体实现。

这种设计方法已被普遍采用。

13.1.2综合(Synthesis)

综合是一个很重要的步骤,综合指的是将较高层次的设计描述自动转化为较低层次描述的过程。

综合有下面几种形式。

1.算法表示,行为描述转换到寄存器输级(RTL),即从行为描述到结构描述,称为行为结构。

2.RTL级描述转换到逻辑门限(可包括触发器),成为逻辑综合。

3.讲逻辑门表示转换到版图表示,或转换到PLD器件的配置网表表示,称为版图综合或结构综合。

根据版图信息能够进行ASIC生产,有了配置网表可完成给予PLD器件的系统实现。

13.1.3布局布线

Quartus

的布局布线由“fitter”执行,其功能是使用由“Analysis&synthesis”建立的数据库,将工程的逻辑和时序要求与器件的可用资源相匹配。

它会把每个逻辑单元位置,进行布线和时序分析,并选定相应的互连路径和引脚分配。

13.1.4仿真(Simulation)

仿真,也成模拟,是对所有电路的功能的验证。

用户可以在设计过程中对整个系统和各个模块进行仿真,即在计算机上用软件验证功能是否正确,各部分的时序配合是否准确。

如果有问题可以随时进行修改,从而避免了逻辑错误。

高级的仿真软件还可以对整个系统设计的性能进行估计。

规模越大的设计,越需要进行仿真。

仿真包含功能仿真和时序仿真。

不考虑信号时延等因素的仿真,称为功能仿真,又叫前仿真。

时序仿真又叫后仿真,它是在选择了具体器件并完成了布局布线后进行的包括延时的仿真。

由于不同器件的内部时延不一样,不同的布局,布线方案也给时延造成了很大的影响,因此在设计实现后,对网络和逻辑块进行时延仿真,分析定时关系,估计设计性能是非常有必要性的。

13.1.5编程和配置

在布局布线之后,用户就可以进行器件的编程和配置工作了。

所谓配置,即加载或下载,指对FPGA的编程的一个过程,FPGA每次上电后都需要重新配置,这是基于SRAM工艺FPGA的特点。

FPGA中的配置SRAM(ConfigurationRAM)存放配置数据的内容,用来控制可编程多路径,逻辑,互连节点和RAM初始化内容等。

Quartus

Complier的Assembler模块会生成编辑文件,由Quartus

Programmer加载编程文件进行编程配置,可以用Programmer在工程中对器件进行编程或配置,还可以使用它的独立版本对器件进行编程和配置。

13.1.6调试

SingnalTap

logicAnalyzer是第二代系统调试工具,可以捕获和显示实时信号行为,观察系统设计中硬件和软件之间的互相作用。

Quartus

软件可以选择要捕获的信号、开始捕获信号的时间以及要捕获多少数据样本。

还可以选择是将数据从器件的存储块通过JTAG端口传送至SignalTap

LogicAnalyzer,或I/O引脚以供外部逻辑分析或示波器显示。

13.1.7系统级设计

13.2原理图的输入方法

13.2.1建立Quartus

工程文件

1.打开Quartus

9.0软件,显示启动界面如图13.2.1所示窗口。

图13.2.1

2.利用QuartusII提供的新建工程指南可以帮助我们很容易的建立一个工程

点击File->NewprojectWizard新建工程,如图13.2.2所示窗口,在图13.2,3中的第一个空白处需添入新建工程工作目录的路径,为便于管理,QuartusII软件要求每一个工程项目及其相关文件都统一存储在单独的文件夹中。

第二个空白处需添入新建的工程名称。

第三个空白处需添入的是工程的顶层设计实体名称,要求顶层设计实体名称和新建的工程名称保持一致。

添好后按Next按钮。

图13.2.2

图13.2.3新建工程

3.新工程建立完成后,将会弹出如图13.2.4所示窗口。

图13.2.4

4.执行完上一步操作,会自动弹出的如图13.2.5对话框。

其中FileName中填写的是工程名和该工程的顶层设计实体名。

若工程没有建立好,则在FileName一栏中显示空白。

可以在File空白处选择添入其他已存在的设计文件加入到这个工程中,也可以使用UserLibraryPathnames按钮把用户自定义的库函数加入到工程中使用。

完成后按Next按钮进入下一步。

图13.2.5

5.执行完上一步操作,在弹出的窗口中进行如下设置,选择器件的封装形式,引脚数目,以及速度级别来约束可选器件的范围。

如图13.2.6所示。

在Family一栏中选择“Cyclone”,在Target一栏中选择“Specificdeviceselectedin‘Availabledevice’list”,在Availabledevice一栏中选择”EP1C3T100C6”。

如图13.2.6所示窗口。

图13.2.6

6.可以为新项目指定综合工具、仿真工具、时间分析工具。

我们使用默认设置。

如图13.2.7所示。

图13.2.7

7.最后是由新建工程指南建立的工程文件摘要,显示了上面的全部设置选项。

至此,新工程建立完毕,在QuartusII设计软件界面的顶部标题栏将显示工程名称和存储路径,如图13.2.8所示。

图13.2.8

13.2.2源文件原理图的的输入

1.点击File下的New,弹出如图13.2.9所示对话框。

图13.2.9

2.选择BlockDiagram/SchematicFile点击OK,打开原理图编辑器窗口,如图13.2.10。

图13.2.10

3.在File菜单下SaveAs,保存vote.bdf。

4.弹出图13.2.11所示的对话框,双击空白处。

图13.2.11

4.弹出图13.2.12所示原件对话框,在标记出输入器件名。

图13.2.13

5.列出所有元件并用鼠标放到原件的引脚上,鼠标会自动变成“十”形状。

按左键,拖动鼠标,就会有导线引出。

根据要实现的逻辑,连好每个器件的引脚,将已设计好的原理图文件取名并存盘在已为此项目建立的文件夹内,如图13.2.14所示。

图13.2.14

6.输入与输出引脚弹出如图13.2.15所示对话框,修改引脚名。

图13.2.15

7设置引脚:

为了能对此全加器进行硬件测试,应将其输入输出信号锁定在芯片确定的引脚上,编译后下载。

在Assignment菜单下,点击Pins,启动PinPlanner工具,如图13.2.16所示。

图13.2.16

8.双击"NodeName"栏的<>,在出现的如图所示的下拉栏中分别选择本工程要锁定的端口信号名;然后双击对应的Location栏的<>,在出现的下拉栏中选择对应端口信号名的器件引脚号,如对应ain,选择1脚。

如图13.2.16

图13.2.16

11.在Assignments菜单下,点击Device,如图13.2.19所示。

图13.2.18

弹出如图13.2.20所示对话框进行操作。

图13.2.19

弹出如图13.2.21所示窗口。

图13.2.20

图13.2.21

在如图13.2.23所示的窗口上点击UnusedPins

图13.2.22

9.回到setting对话框点OK。

10.开始进行编译点击

,进行编译。

图13.2.23

13.2.3时序仿真

1.建立仿真波形文件:

在主菜单中选择File/New选项,在弹出的New对话框中选择VectorWaveformFile。

设置仿真参数:

设置仿真时间区域。

对于时序仿真来说,将仿真时间轴设置在一个合理的时间区域上十分重要。

通常设置的时间范围在数十微秒间,建立项目,完成激励波形文件输入和仿真。

1)在File菜单下,点击New命令,随后弹出的对话框中,选中VectorWaveformFile选项,点击OK,进入波形界面。

如图13.2.25所示。

图13.2.24(a)

图13.2.24(b)

2)在Edit菜单下,点击InsertNodeorBus命令,如图13.2.26所示。

图13.2.25(a)

图13.2.25(b)

图13.2.25(c)

图13.2.25(d)

图13.2.25(e)

点击OK再确认。

3)回到InsertNodeorBus对话框,点击OK。

4)选中信号,在Edit菜单下,选择Value->Clock或者

命令。

对激励信号的时间间隔进行描述,在弹出对话框中的period进行修改,点击OK。

具体操作如图13.2.27所示。

图13.2.26(a)

图13.2.26(b)

信号如下并进行保存。

图13.2.26(c)

5)在Processing菜单下,选择StartSimulation或点击

,启动仿真工具。

仿真结束后,点击确认,观察仿真结果。

图13.2.28为仿真结果,观察仿真波形。

图13.2.27

13.2.4测试程序实例

1.建立工程

1)点击File中的newprojectWizard,开始建立工程。

工程名为f_adder。

2)将上述的电路保存为一个元件符号,点击File菜单中Create/Update->CreateSymbolFileforCurrentFile。

3)点击File菜单中的new,打开对话框并选择BlockDiagram/SchematicFile。

4)在原理图中继续调入与门(AND2)、异或门(Ror2)、或门(Or)、输入引脚(input)、输出引脚(output)等元件,将这些元件进行连接,构成全加器,如图13.2.28。

图13.2.28

6)保存后在Assignment菜单下,点击Pins,启动PinPlanner工具在newnode输入端子名称。

7)在Assignments菜单下,点击Device,选择器件类型。

8)进行编译点击

,成功后进行仿真信号的设置,仿真结果如图13.2.30。

图13.2.29

13.3顶层电路的设计

13.4LPM参数化宏功能模块

13.4.1宏模块应用实例

宏功能

Altera公司的宏功能模块属于IP的一种。

所谓IP,并不是指网络概念中的网络地址,而是指知识产权。

Altera公司的IP核包括基本的宏功能(Megafuntion/LPM)和Megacore两种。

这种功能经严格的侧试和优化,可以在Altera专用器件结构中发挥出最佳性能。

使用这些知识产权参数化模块,能够减少设计和测试时间。

Megacore和AMPP宏功能模块包括应用嵌入式处理器、接口和外设、数字信号处理(DSP)以及通信应用的宏功能模块。

基本宏功能包含一些简单的功能,包括加减乘除、简单多路器、移位寄存器模块等,也包含一些Altera器件特有的资源,如片内RAM、DSP、LVDS、PLL、DDIL和高速收发电路等。

这些宏功能模块都被Altera针对器件进行过优化,用户能利用这些宏功能块能更方便地设计出速度更快、利用资源更少的产品。

实例

1.在功能目录vote文件下建立design和core文件夹,design文件夹用于放置自己的设计文件,core文件夹用于放置等会生成的功能库文件。

2.运行tools->MegaWizardPlug-InManager,或者作为独立程序运行,在Windows系统下点击开始->运行,在运行窗口输入MegaWizard命令qmegawiz。

即可打开MegaWizardPlug-InManager。

3.打开MegaWizardPlug-InManager后,出现如13.4.1所示的窗口。

图13.4.1

图13.4.2

图13.4.3

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 工程科技

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2