兰州石化职业技术学院课时计划.docx

上传人:b****0 文档编号:18522413 上传时间:2023-08-19 格式:DOCX 页数:23 大小:574.58KB
下载 相关 举报
兰州石化职业技术学院课时计划.docx_第1页
第1页 / 共23页
兰州石化职业技术学院课时计划.docx_第2页
第2页 / 共23页
兰州石化职业技术学院课时计划.docx_第3页
第3页 / 共23页
兰州石化职业技术学院课时计划.docx_第4页
第4页 / 共23页
兰州石化职业技术学院课时计划.docx_第5页
第5页 / 共23页
兰州石化职业技术学院课时计划.docx_第6页
第6页 / 共23页
兰州石化职业技术学院课时计划.docx_第7页
第7页 / 共23页
兰州石化职业技术学院课时计划.docx_第8页
第8页 / 共23页
兰州石化职业技术学院课时计划.docx_第9页
第9页 / 共23页
兰州石化职业技术学院课时计划.docx_第10页
第10页 / 共23页
兰州石化职业技术学院课时计划.docx_第11页
第11页 / 共23页
兰州石化职业技术学院课时计划.docx_第12页
第12页 / 共23页
兰州石化职业技术学院课时计划.docx_第13页
第13页 / 共23页
兰州石化职业技术学院课时计划.docx_第14页
第14页 / 共23页
兰州石化职业技术学院课时计划.docx_第15页
第15页 / 共23页
兰州石化职业技术学院课时计划.docx_第16页
第16页 / 共23页
兰州石化职业技术学院课时计划.docx_第17页
第17页 / 共23页
兰州石化职业技术学院课时计划.docx_第18页
第18页 / 共23页
兰州石化职业技术学院课时计划.docx_第19页
第19页 / 共23页
兰州石化职业技术学院课时计划.docx_第20页
第20页 / 共23页
亲,该文档总共23页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

兰州石化职业技术学院课时计划.docx

《兰州石化职业技术学院课时计划.docx》由会员分享,可在线阅读,更多相关《兰州石化职业技术学院课时计划.docx(23页珍藏版)》请在冰点文库上搜索。

兰州石化职业技术学院课时计划.docx

兰州石化职业技术学院课时计划

兰州石化职业技术学院课时计划

NO4

授课日期

授课班级

课题

MAX-PLUSⅡ的功能、特点。

Max+pulsII的图形设计。

教学目的

1.熟悉MAX+PLUSII的设计界面

2.掌握Max+pulsII的图形设计。

重点与难点

Max+pulsII的图形设计。

总线,顶层设计

教学辅助

手段

多媒体实训基地参观

复习提问

授课内容提纲

1.MAX+PLUSII简介

2.常用菜单及按钮

3.MAX+PLUSII图形设计

课外作业

教学心得

 

 

第二课:

MAX-PLUSⅡ基本操作

4.MAX-PLUSⅡ简介

4.1.MAX-PLUSⅡ的功能、特点

设计与结构无关

多种设计输入方式

设计编译功能

可进行设计验证

4.2.常用菜单和按钮的功能

点击按钮

启动MAX+plusII后出现的第一个窗口是MAX+plusII的管理器,对所有的应用功能进行控制。

4.2.1.菜单栏

MAX+pulsII的菜单包括各种命令操作和参数设置,主要有【MAX+pulsII】、【File】、【Assign】、【Option】和【Help】5个下拉菜单。

1、【MAX+pulsII】菜单

2、【File】菜单

3、【Assign】菜单

4、【Options】菜单

5、【Help】菜单

4.2.2.工具栏

工具栏是各菜单功能的快捷按钮组合。

如图所示。

5.Max+pulsII的图形设计

5.1.典型电路的设计

5.1.1.建立项目

1、在“File”菜单中选择“Project”的“Name”选项。

或单点击工具栏中的

按钮,出现如图5-1所示的对话框

5-1输入\指定项目名对话框

在图1、2中的“Directories”区选中刚才为项目所建的目录,在“ProjectName”区键入项目名,此处为“cntm12”。

5.1.2.图形输入

1、建立图形输入文件

在“File”菜单选择“New”,或单击

按钮,在这里我们选择“GraphicEditorFile”后,单击“OK”即可开始建立图形输入文件。

(1)调入元件符号图5-4输入元件

(2)保存文件

(3)调入一个三输入与非门和接地符号

(4)连线

第一步,按住鼠标左键并拖动鼠标至第二个端口。

第二步,松开鼠标左键后,则画好一条连线。

第三步,若想删除某条连线,只需用鼠标左键点中该线,被点中的线会变为高亮线(为红色),此时按“Delete”键即可删除。

按图5-8连好线,并存盘。

图5-8连接线

(5)添加输入输出引脚

图5-1012进制计数器电路图

5.2.组建顶层原理图与BUS的使用

5.2.1.层次化设计

数字系统设计通常采用自顶向下与自底向上向结合的方法。

在Max+pulsII中,可利用层次化设计方法来实现数字系统的设计。

一般是先组建底层设计,然后设计顶层。

题目:

以前面设计的12进制计数器为一个模块,并与其它模块组建一个能计时、分、秒的时钟。

先完成12进制计数器。

如前面的图5-10所示。

执行【File】菜单下【CreatDefaultSymbol】选项,可生成符号“cntm12”,既将我们自己设计的12进制计数器编译成库中的一个元件。

(1)建立另一个图形设计文件“cntm60.gdf”,实现60进制记数器。

图5-1160进制计数器

(2)完成60进制计数器后,采用步骤2,生成符号“cntm60”。

(3)建立顶层设计文件“clock.gdf”:

●建立新的图形文件,保存为“clock.gdf”。

●将其指定为项目文件(【File】菜单下【Project/SetprojecttoCurrentFile】选项或单击

按钮)

●在“clock.gdf”的空白处(图形编辑区)双击鼠标左键可打开“Entersymbol”对话框来选择需要输入的元件。

在元件列表区可看到刚才生成的两个元件“cntm12”和“cntm60”。

●调用元件“cntm12”和“cntm60”,经适当连接构成顶层设计文件。

图所示。

双击“cntm60”元件,可打开底层设计文件“cntm60.gdf”。

(4)对顶层文件进行编译、仿真,完成此设计。

现在,整个设计已经完成,此时,可通过工具条中的

按钮或菜单【Max+pulsII】下【HierarchyDisplay】选项打开一个窗口,如图5-14所示。

图5-14

5.2.2.BUS的使用

图5-15cntm60.gdf文件中输出符号的替换

然后重新将“cntm60”生成符号。

更新“clock.gdf”文件,。

将连线整理并重新命名如图5-16所示。

图5-16clock.gdf文件

对BUS命名时,可以直接使用与器件中某总线输出相同的数组形式,如图5-17中的s[3..0],也可使用多个单信号名组合而成。

图5-17对BUS命名的说明

图中,aa对应qh最高位,bb对应qh中间位,bf对应qh中最低位。

 

兰州石化职业技术学院课时计划

NO5

授课日期

授课班级

课题

MAX+pulsII文本编辑。

样本的使用编译与时序仿真

教学目的

1熟悉MAX+pulsII文本编辑。

2、熟悉样本的使用

3.编译、时序仿真。

重点与难点

时序仿真

教学辅助

手段

多媒体实训基地参观

复习提问

授课内容提纲

1.MAX+pulsII文本编辑。

2.样本的使用。

3.编译与时序仿真。

课外作业

教学心得

 

6.MAX+pulsII文本编辑

MAX+plusII支持AHDL语言,VHDL语言,VerilogHDL等语言输入。

其设计过程与图形方法基本相同,新建文件时,点击

按钮,选中【TextEditorFile】后点击“OK”按钮。

建立文本文件。

6.1一个简单电路的设计

6.1.1用VHDL语言设计模为60的8421BCD计数器

输入如下文本:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

ENTITYcntm60vIS

PORT

(en:

INstd_logic;

clear:

INstd_logic;

clk:

instd_logic;

count:

outstd_logic;

qh:

bufferstd_logic_vector(3downto0);

ql:

bufferstd_logic_vector(3downto0)

);

ENDcntm60v;

ARCHITECTUREbehaveOFcntm60vIS

BEGIN

count<='1'when(qh="0101"andql="1001"anden='1')else'0';

PROCESS(clk,clear)

BEGIN

IF(clear='0')THEN

qh<="0000";

ql<="0000";

ELSIF(clk'EVENTANDclk='1')THEN

if(en='1')then

if(ql=9)then

ql<="0000";

if(qh=5)then

qh<="0000";

else

qh<=qh+1;

endif;

else

ql<=ql+1;

endif;

endif;

ENDIF;

ENDPROCESS;

ENDbehave;

该文件保存为“cntm60v.vhd”,

6.1.2文字编辑工具

1、关键字上色:

2、字型与字体大小控制:

VHDL样本(Templates)

MAX+plusII提供了VHDL的各种语法,可以从文字编辑窗口菜单【Templates】下的【VHDLTemplate】选项选取所需要的语法插入VHDL电路设计画面,如图6-4所示。

图6-4VHDL样本

6.1.3VHDL范例

MAX+plusII软件提供了一些VHDL范例。

放在/max2work/vhdl目录下,或是参考文字编辑窗口菜单【Help】下【VHDL】选项的“HowToUseMAX+plusIIVHDL”。

也有部分的范例可供参考。

7.时序仿真

在创建功能模块和设计原理图之后,用户需要使用MAX+plusII软件中的仿真功能来验证所创建的功能模块或原理图能否实现预期的逻辑功能。

项目编译

要实现电路的时序仿真,首先要创建原理图或文本文件,对其进行编译,然后才能创建波形仿真文件。

完成设计文件输入后,即可对其进行编译。

在“MAX+plusII”菜单中选择“Compiler”或者点击按钮

即可打开编译器,按“Start”就开始编译。

编译成功后可生成时序模拟文件及器件编程文件。

若有错误,编译器将停止编译,并在下面的信息框中给出错误信息。

双击错误信息条,一般克指出错误之处。

Assembler:

装配器,生成用于器件下载\配置的文件。

7.1.项目检验

7.1.1.建立波形输入文件(也称模拟通道文件SCF)

从“File”菜单中选择“New”或点击

打开新建文件类型对话框,选择“WaveformEditorFile”项,文件格式使用默认格式“.scf”,单击“OK”,

1、在波形编辑器窗口单击鼠标右键,出现浮动菜单,

选择“EnternodesfromSNF….”可打开“从SNF文件输入观测节点”对话框。

3、在图中的“Type”区选择“Inputs”和“Output”,默认情况下已选中。

单击“List”按钮,可在“AvailableNodes&Group”区看到在设计中使用的输入\输出信号,如图7-5所示,这些信号为蓝色高亮,表示被选中。

单击

按钮可将这些信号选择到“SelectedNodes&Group”区,表示可对这些信号进行观测。

4、单击“OK”按钮,关闭图7-5所示对话框。

可见到图7-2波形编辑器窗口变为图7-6所示

图7-6波形文件中的输入输出信号

5、、从“File”菜单中选择“Save”或点击

按钮,将此波形文件保存为默认名“cntm12.scf”,扩展名“.scf”表示模拟通道文件。

7.1.2.编辑输入节点波形,即建立输入信号的波形

在波形文件中添加输入\输出信号名后,就可以开始为输入信号建立波形。

在建立波形之前,首先浏览与此操作相关的菜单选项及工具条

将信号“en”从头至尾,即从0ns到100us赋值“1”

选中信号“en”,即单击“Name”区中的“en”,可看到“en”信号全部变为黑色,表示被选中;单击

即可将“en”赋“1”。

采用同样方法可将信号“clear”从0ns到100us赋值“1”,为观察其清零的作用,在1.6us至2.8us之间将其赋“0”(因为该信号低电平有效)。

将鼠标移到“clear”信号的1.6us处按下鼠标左键并向右拖动鼠标至2.8us处,松开鼠标左键。

可看到这段区域呈黑色,被选中,单击工具条中

即可。

为时钟信号“clk”赋周期为200ns的时钟信号。

选中信号“clk”;设置信号周期。

单击工具条中

按钮,可以打开对话框;单击“OK”按钮此对话框即可生成所需时钟。

从“File”菜单中选择“Save”或点击

按钮存盘。

到此已完成波形输入,得到图7-11所示。

7.1.3.运行模拟器,进行时序模拟

(1)从“MAX+plusII”菜单中选择“Simulator”或单击按钮

,打开模拟器,如图7-12所示。

(2)单击“Start”按钮开始模拟。

模拟完毕后,单击“OpenSCF”按钮打开刚才编辑的波形文件,就可以对模拟结果进行检查。

模拟结果如图7-13所示

图7-15模拟结果(以组方式显示)

●仿真文本文件“cntm60v”,方法同电路原理图仿真方法一致。

仿真波形“cntm60v”如图7-16所示

“cntm60仿真结果”

 

兰州石化职业技术学院课时计划

NO6

授课日期

授课班级

课题

选择器件、定义管脚。

程序的下载。

教学目的

掌握选择器件、定义管脚及下载。

重点与难点

管脚定义及下载

教学辅助

手段

多媒体、实训基地参观

复习提问

授课内容提纲

1、选择器件、定义管脚。

2、程序的下载。

课外作业

教学心得

 

8.程序的下载

8.1.选择器件、定义管脚

8.1.1.选择器件

为了方便描述,我们仍然以原理图文件十二进制计数器“cntm12.gdf”为例。

假设本例中使用的目标器件为FLEX10KA系列中的EPF10K30AQC240.3,器件选择方法如下:

1、从“Assign”菜单下选择“Device”项可打开如图8-1所示的器件选择对话框。

2、单击“DeviceFamily”区下的下拉按钮,可进行器件系列选择,此处应选择FLEX10KA。

3、在具体器件型号列表区双击EPF10K30AQC240.3,可看到如图8-2所示。

图8-1器件选择对话框

图8-2器件选择对话框(选择EPF10K30AQC240-3)

4、单击“OK”按钮,关闭对话框即完成器件选择。

8.1.2.管锁缩定

管脚锁定是指将输入\输出信号安排在器件的指定管脚上,方法有两种,第一种过程如下:

(1)从“MAX+pulsII”菜单下选择“FloorplanEditor”。

平面布置图编辑器窗口被打开,如图8-3所示。

所打开的窗口可能与此不同,可通过菜单“Layout”中选中“DeviceView”,使视图区显示器件视图(显示管脚缩定情况)。

单击工具条中的

可显示当前的管脚分配\逻辑分配情况,得到与8-3一样的窗口。

(2)为将“clk”信号锁定在EPF10K30AQC240的211号脚上,可先将鼠标移到节点显示区的“clk”左边的

上,按下鼠标左键,可看到鼠标显示符下有一个灰色的矩形框。

此时,继续按着鼠标左键,拖动鼠标至视图区中211号管脚的空白矩形处如图8-4a所示,松开左键即可完成信号“clk”的人工管脚锁定如图8-4b所示。

图8-3平面布置图编辑器窗口

(a)未锁定前(b)锁定好信号clk后的视图区

图8-4clk锁定前后的视图

按上述方法分别将其它信号按下表锁定:

信号名

管脚号

Clk

211

Clear

64

En

65

Q0

203

Q1

204

Q2

206

Q3

207

Cout

208

图8-5锁定管脚编译后的设计文件

完成上述管脚锁定后,单击按钮

重新编译使之生效。

此时回到原来的设计文件“cntm12.gdf”,可以看到图上的输入输出信号旁都标有其对应的管脚号,如图8-5所示。

对于管脚锁定也可以采用第二种方法,从“Assign”菜单中选择“Pin\location\chip”,打开如图8-6所示的对话框。

(1)在“nodename”区,填上信号名,如“clk”。

(2)在“pin”区,填上管脚号,如“211”。

(3)在“pintype”区选择信号输入/输出类型,对于信号“clk”应选择“input”类型。

(4)

此时,按钮“Add”变亮,单击之,可将信号“clk”锁定在211管脚上。

图8-6引脚锁定对话框

(5)重复上述步骤,可将所有信号锁定好。

如果想删除或改变一个锁定,可在“ExistingPin\location\ChipAssignments”区选中需要删除或改变锁定的信号,利用“Delete”或“Change”按钮可对该信号的锁定进行删除或更改。

8.2.器件下载

对文件进行编译结束后,在编译界面会出现生成下载编程文件

图标,此时只需在编译界面双击

图标即可弹出下载编程界面。

8.2.1.编程硬件设置

第一次使用MAX+pulsII软件进行下载编程前,必须先进行硬件设置,否则编程硬件未设置的提示信息。

下面我们仍以原理图文件“cntm12.gdf”为例说明如何进行硬件设置。

1、进入MAX+pulsII编译界面,假定已对“cntm12.gdf”文件编译完毕,如图8-7所示。

图8-7编译结束界面

2、双击

图标,系统弹出下载编程界面,对于首次编程,系统会弹出编程硬件未设置的编程信息,提示信息如图8-8所示。

图8-8编程硬件未设置提示信息

3、单击确定后弹出的下载编程界面如图8-9所示。

图8-9未设置硬件时的下载编程界面

4、在不关闭下载编程界面的情况下,从菜单栏中选择【Option】/【HardwareSetup】命令,弹出如图8-10所示的【Hardwaresetup】对话框。

图8-10【Hardwaresetup】

5、单击如图8-10所示的对话框中

下拉按钮,弹出几种硬件下载编程方式,如图8-11所示。

 

 

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2