电子时钟.ppt

上传人:wj 文档编号:18850393 上传时间:2024-01-29 格式:PPT 页数:15 大小:53.50KB
下载 相关 举报
电子时钟.ppt_第1页
第1页 / 共15页
电子时钟.ppt_第2页
第2页 / 共15页
电子时钟.ppt_第3页
第3页 / 共15页
电子时钟.ppt_第4页
第4页 / 共15页
电子时钟.ppt_第5页
第5页 / 共15页
电子时钟.ppt_第6页
第6页 / 共15页
电子时钟.ppt_第7页
第7页 / 共15页
电子时钟.ppt_第8页
第8页 / 共15页
电子时钟.ppt_第9页
第9页 / 共15页
电子时钟.ppt_第10页
第10页 / 共15页
电子时钟.ppt_第11页
第11页 / 共15页
电子时钟.ppt_第12页
第12页 / 共15页
电子时钟.ppt_第13页
第13页 / 共15页
电子时钟.ppt_第14页
第14页 / 共15页
电子时钟.ppt_第15页
第15页 / 共15页
亲,该文档总共15页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

电子时钟.ppt

《电子时钟.ppt》由会员分享,可在线阅读,更多相关《电子时钟.ppt(15页珍藏版)》请在冰点文库上搜索。

电子时钟.ppt

LED数码显示时钟实验数码显示时钟实验LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_SIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYTIMERISPORT(CLK5:

INSTD_LOGIC;CLK3:

INSTD_LOGIC;RST1:

INSTD_LOGIC;SEG_SEL:

OUTSTD_LOGIC_VECTOR(2DOWNTO0);SEG_DA:

OUTSTD_LOGIC_VECTOR(7DOWNTO0);ENDTIMER;ARCHITECTUREADOOFTIMERISCOMPONENTCNT10PORT(CLK:

INSTD_LOGIC;RST:

INSTD_LOGIC;CIN:

INSTD_LOGIC;CNT_VAL:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:

OUTSTD_LOGIC);ENDCOMPONENT;COMPONENTCNT6PORT(CLK:

INSTD_LOGIC;RST:

INSTD_LOGIC;CIN:

INSTD_LOGIC;CNT_VAL:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:

OUTSTD_LOGIC);ENDCOMPONENT;COMPONENTCNT2PORT(CLK:

INSTD_LOGIC;RST:

INSTD_LOGIC;CIN:

INSTD_LOGIC;CNT_VAL:

INOUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:

OUTSTD_LOGIC);ENDCOMPONENT;COMPONENTCNT4PORT(CLK:

INSTD_LOGIC;RST:

INSTD_LOGIC;CIN:

INSTD_LOGIC;CNT_VAL:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:

OUTSTD_LOGIC);ENDCOMPONENT;SIGNALS_BUF1,S_BUF2,S_BUF3,S_BUF4:

STD_LOGIC_VECTOR(3DOWNTO0);SIGNALS_BUF5,S_BUF6,S_BUF7,S_BUF8:

STD_LOGIC_VECTOR(3DOWNTO0);SIGNALS_CNT:

STD_LOGIC_VECTOR(2DOWNTO0);SIGNALS_TEMP:

STD_LOGIC_VECTOR(3DOWNTO0);SIGNALCOUT:

STD_LOGIC_VECTOR(5DOWNTO0);SIGNALCLK:

STD_LOGIC;BEGINPROCESS(CLK3)BEGINIFCLK3EVENTANDCLK3=1THENCLK=NOTCLK;ENDIF;ENDPROCESS;PROCESS(CLK,RST1)BEGINIFCLKEVENTANDCLK=1THENIFRST1=1THENS_CNT=000;ELSES_CNT=S_CNT+1;ENDIF;ENDIF;ENDPROCESS;S_SEL=S_CNT;S_BUF3=1111;S_BUF6S_TEMPS_TEMPS_TEMPS_TEMPS_TEMPS_TEMPS_TEMPS_TEMPNULL;ENDCASE;ENDPROCESS;PROCESS(SEG_TEMP)BEGINCASESEG_TEMPISWHEN0000=SEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DASEG_DACLK5,RST=RST1,CNT_VAL=S_BUF1,COUT=COUT(0),CIN=1);U2:

CNT6PORTMAP(CLK=CLK5,RST=RST1,CNT_VAL=S_BUF2,COUT=COUT

(1),CIN=COUT(0);U3:

CNT10PORTMAP(CLK=CLK5,RST=RST1,CNT_VAL=S_BUF4,COUT=COUT

(2),CIN=COUT

(1);U4:

CNT6PORTMAP(CLK=CLK5,RST=RST1,CNT_VAL=S_BUF5,COUT=COUT(3),CIN=COUT

(2);U5:

CNT4PORTMAP(CLK=CLK5,RST=RST1,CNT_VAL=S_BUF7,COUT=COUT(4),CIN=COUT(3);U6:

CNT2PORTMAP(CLK=CLK5,RST=RST1,CNT_VAL=S_BUF8,COUT=COUT(5),CIN=COUT(4);ENDADO;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_SIGNED.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYCNT10ISPORT(CLK:

INSTD_LOGIC;RST:

INSTD_LOGIC;CIN:

INSTD_LOGIC;CNT_VAL:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:

OUTSTD_LOGIC);ENDCNT10;ARCHITECTUREBEHAVEOFCNT10ISSIGNALCNT_T:

STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK)BEGINIFRST=1THENCNT_T=0000;ELSIFCLKEVENTANDCLK=1THENIFCIN=1THENIFCNT_T/=9THENCNT_T=CNT_T+1;ELSECNT_T=0000;ENDIF;ENDIF;ELSECNT_T=CNT_T;ENDIF;ENDPROCESS;COUT=1WHENCNT_T=9ANDCIN=1ELSE0;CNT_VAL=CNT_T;ENDBEHAVE;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_SIGNED.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYcnt6ISPORT(CLK:

INSTD_LOGIC;RST:

INSTD_LOGIC;CIN:

INSTD_LOGIC;CNT_VAL:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:

OUTSTD_LOGIC);ENDCNT6;ARCHITECTUREADO2OFCNT6ISSIGNALCNT_T:

STD_LOGIC_VECTOR(3DOWNTO0);SIGNALCOUTD:

STD_LOGIC;BEGINPROCESS(CLK,RST)BEGINIFRST=1THENCNT_T=0000;ELSIFCLKEVENTANDCLK=1THENIFCIN=1THENIFCNT_T5THENCNT_T=CNT_T+1;ELSECNT_T=x0;ENDIF;ENDIF;ELSECNT_T=CNT_T;ENDIF;ENDPROCESS;COUT=1WHENCNT_T=5ANDCIN=1ELSE0;CNT_VAL=CNT_T;ENDADO2;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_SIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYCNT2ISPORT(CLK:

INSTD_LOGIC;RST:

INSTD_LOGIC;CIN:

INSTD_LOGIC;CNT_VAL:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:

OUTSTD_LOGIC);ENDCNT2;ARCHITECTUREADO3OFCNT2ISSIGNALCNT_T:

STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK,RST)BEGINIFRST=1THENCNT_T=0000;ELSIFCLKEVENTANDCLK=1THENIFCIN=1THENIFCNT_T1THENCNT_T=CNT_T+1;ELSECNT_T=0000;ENDIF;ENDIF;ELSECNT_T=CNT_T;ENDIF;ENDPROCESS;COUT=1WHENCNT_T=1ANDCIN=1ELSE0;CNT_VAL=CNT_T;ENDADO3;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_SIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYCNT4ISPORT(CLK:

INSTD_LOGIC;RST:

INSTD_LOGIC;CIN:

INSTD_LOGIC;CNT_VAL:

OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:

OUTSTD_LOGIC);ENDCNT4;ARCHITECTUREBEHAVEOFCNT4ISSIGNALCNT_T:

STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK,RST)BEGINIFRST=1THENCNT_T=0000;ELSIFCLKEVENTANDCLK=1THENIFCIN=1THENIFCNT_T3THENCNT_T=CNT_T+1;ELSECNT_T=0000;ENDIF;ENDIF;ELSECNT_T=CNT_T;ENDIF;ENDPROCESS;CNT_VAL=CNT_T;COUT=1WHENCNT_T=3ANDCIN=1ELSE0;ENDBEHAVE;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2