EDAFPGA期末考试试题.docx

上传人:b****2 文档编号:2462468 上传时间:2023-05-03 格式:DOCX 页数:27 大小:27.06KB
下载 相关 举报
EDAFPGA期末考试试题.docx_第1页
第1页 / 共27页
EDAFPGA期末考试试题.docx_第2页
第2页 / 共27页
EDAFPGA期末考试试题.docx_第3页
第3页 / 共27页
EDAFPGA期末考试试题.docx_第4页
第4页 / 共27页
EDAFPGA期末考试试题.docx_第5页
第5页 / 共27页
EDAFPGA期末考试试题.docx_第6页
第6页 / 共27页
EDAFPGA期末考试试题.docx_第7页
第7页 / 共27页
EDAFPGA期末考试试题.docx_第8页
第8页 / 共27页
EDAFPGA期末考试试题.docx_第9页
第9页 / 共27页
EDAFPGA期末考试试题.docx_第10页
第10页 / 共27页
EDAFPGA期末考试试题.docx_第11页
第11页 / 共27页
EDAFPGA期末考试试题.docx_第12页
第12页 / 共27页
EDAFPGA期末考试试题.docx_第13页
第13页 / 共27页
EDAFPGA期末考试试题.docx_第14页
第14页 / 共27页
EDAFPGA期末考试试题.docx_第15页
第15页 / 共27页
EDAFPGA期末考试试题.docx_第16页
第16页 / 共27页
EDAFPGA期末考试试题.docx_第17页
第17页 / 共27页
EDAFPGA期末考试试题.docx_第18页
第18页 / 共27页
EDAFPGA期末考试试题.docx_第19页
第19页 / 共27页
EDAFPGA期末考试试题.docx_第20页
第20页 / 共27页
亲,该文档总共27页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

EDAFPGA期末考试试题.docx

《EDAFPGA期末考试试题.docx》由会员分享,可在线阅读,更多相关《EDAFPGA期末考试试题.docx(27页珍藏版)》请在冰点文库上搜索。

EDAFPGA期末考试试题.docx

EDAFPGA期末考试试题

这是长期总结的EDA期末考试试题

试题一

1-2与软件描述语言相比,VHDL有什么特点?

P6

答:

编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。

综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。

综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?

有哪些类型?

综合在电子设计自动化中的地位是什么?

P5

什么是综合?

答:

在电子设计领域中综合的概念可以表示为:

将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型?

答:

(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(RegisterTransportLevel,RTL),即从行为域到结构域的综合,即行为综合。

(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。

(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么?

答:

是核心地位(见图1-3)。

综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义是什么?

P7~10

答:

在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

1-5IP在EDA技术的应用和发展中的意义是什么?

P11~12

答:

IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

2-1叙述EDA的FPGA/CPLD设计流程。

P13~16

答:

1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

2-2IP是什么?

IP与EDA技术的关系是什么?

P24~26

IP是什么?

答:

IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。

IP与EDA技术的关系是什么?

答:

IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:

软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。

固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。

硬IP提供设计的最终阶段产品:

掩模。

3-1OLMC(输出逻辑宏单元)有何功能?

说明GAL是怎样实现可编程组合电路与时序电路的。

P34~36

答:

OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。

说明GAL是怎样实现可编程组合电路与时序电路的?

答:

GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2什么是基于乘积项的可编程逻辑结构?

P33~34,40

答:

GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。

3-3什么是基于查找表的可编程逻辑结构?

P40~41

答:

FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。

3-7请参阅相关资料,并回答问题:

按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件?

MAXII系列又属于什么类型的PLD器件?

为什么?

P54~56

答:

APEX(AdvancedLogicElementMatrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。

MAXII系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。

4-3.图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。

试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYMUX221IS

PORT(a1,a2,a3:

INSTD_LOGIC_VECTOR(1DOWNTO0);--输入信号

    s0,s1:

INSTD_LOGIC;

    outy:

OUTSTD_LOGIC);--输出端

ENDENTITY;

ARCHITECTUREONEOFMUX221IS

SIGNALtmp:

STD_LOGIC;

BEGIN

PR01:

PROCESS(s0)

BEGIN

IFs0=”0”THENtmp<=a2;

ELSEtmp<=a3;

ENDIF;

ENDPROCESS;

PR02:

PROCESS(s1)

BEGIN

IFs1=”0”THENouty<=a1;

ELSEouty<=tmp;

ENDIF;

ENDPROCESS;

ENDARCHITECTUREONE;

ENDCASE;

4-4.下图是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYMULTIIS

PORT(CL:

INSTD_LOGIC;--输入选择信号

    CLK0:

INSTD_LOGIC;--输入信号

    OUT1:

OUTSTD_LOGIC);--输出端

ENDENTITY;

ARCHITECTUREONEOFMULTIIS

SIGNALQ:

STD_LOGIC;

BEGIN

PR01:

   PROCESS(CLK0)

BEGIN

IFCLK‘EVENTANDCLK=’1’

THENQ<=NOT(CLORQ);ELSE

ENDIF;

ENDPROCESS;

PR02:

   PROCESS(CLK0)

BEGIN

OUT1<=Q;

ENDPROCESS;

ENDARCHITECTUREONE;

ENDPROCESS;

4-5.给出1位全减器的VHDL描述。

要求:

   

(1)首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in是借位输入。

   

(2)以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是x–y-sun_in=diffr)

底层文件1:

or2a.VHD实现或门操作

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYor2aIS

PORT(a,b:

INSTD_LOGIC;c:

OUTSTD_LOGIC);

ENDENTITYor2a;

ARCHITECTUREoneOFor2aIS

BEGIN

c<=aORb;

ENDARCHITECTUREone;

底层文件2:

h_subber.VHD实现一位半减器

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYh_subberIS

PORT(x,y:

INSTD_LOGIC;

diff,s_out:

:

OUTSTD_LOGIC);

ENDENTITYh_subber;

ARCHITECTUREONEOFh_subberIS

SIGNALxyz:

STD_LOGIC_VECTOR(1DOWNTO0);

BEGIN

xyz<=x&y;

PROCESS(xyz)

BEGIN

CASExyzIS

WHEN"00"=>diff<='0';s_out<='0';

WHEN"01"=>diff<='1';s_out<='1';

WHEN"10"=>diff<='1';s_out<='0';

WHEN"11"=>diff<='0';s_out<='0';

WHENOTHERS=>NULL;

ENDCASE;

ENDPROCESS;

ENDARCHITECTUREONE;

顶层文件:

f_subber.VHD实现一位全减器

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYf_subberIS

PORT(x,y,sub_in:

INSTD_LOGIC;

diffr,sub_out:

OUTSTD_LOGIC);

ENDENTITYf_subber;

ARCHITECTUREONEOFf_subberIS

COMPONENTh_subber

PORT(x,y:

INSTD_LOGIC;

diff,S_out:

OUTSTD_LOGIC);

ENDCOMPONENT;

COMPONENTor2a

PORT(a,b:

INSTD_LOGIC;

c:

OUTSTD_LOGIC);

ENDCOMPONENT;

SIGNALd,e,f:

STD_LOGIC;

BEGIN

u1:

h_subberPORTMAP(x=>x,y=>y,diff=>d,s_out=>e);

u2:

h_subberPORTMAP(x=>d,y=>sub_in,diff=>diffr,s_out=>f);

u3:

or2aPORTMAP(a=>f,b=>e,c=>sub_out);

ENDARCHITECTUREONE;

ENDARCHITECTUREART;

4-6.根据下图,写出顶层文件MX3256.VHD的VHDL设计文件。

MAX3256顶层文件

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYMAX3256IS

PORT(INA,INB,INCK:

INSTD_LOGIC;INC:

INSTD_LOGIC;

E,OUT:

OUTSTD_LOGIC);

ENDENTITYMAX3256;

ARCHITECTUREONEOFMAX3256ISCOMPONENTLK35--调用LK35声明语句

PORT(A1,A2:

INSTD_LOGIC;CLK:

INSTD_LOGIC;Q1,Q2:

OUTSTD_LOGIC);

ENDCOMPONENT;

COMPONENTD--调用D触发器声明语句

PORT(D,C:

INSTD_LOGIC;CLK:

INSTD_LOGIC;Q:

OUTSTD_LOGIC);

ENDCOMPONENT;

COMPONENTMUX21--调用二选一选择器声明语句

PORT(B,A:

INSTD_LOGIC;S:

INSTD_LOGIC;C:

OUTSTD_LOGIC);

ENDCOMPONENT;

SIGNALAA,BB,CC,DD:

STD_LOGIC;

BEGIN

u1:

LK35PORTMAP(A1=>INA,A2=>INB,CLK=INCK,Q1=>AA,Q2=>BB);

u2:

DPORTMAP(D=>BB;CLK=>INCK,C=>INC,Q=>CC);

u3:

LK35PORTMAP(A1=>BB,A2=>CC,CLK=INCK,Q1=>DD,Q2=>OUT1);

u4:

MUX21PORTMAP(B=>AA,A=>DD,S=>BB,C=>E);

ENDARCHITECTUREONE;

4-7含有异步清零和计数使能的16位二进制加减可控计数器。

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

USEIEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITYCNT16IS

PORT(CLK,RST,EN:

INSTD_LOGIC;CHOOSE:

INBIT;

     SETDATA:

BUFFERINTEGERRANCE65535DOWNTO0;

     COUT:

BUFFERINTEGERRANCE65535DOWNTO0);

ENDCNT16;

ARCHITECTUREONEOFCNT16IS

BEGIN

  PROCESS(CLK,RST,SDATA)

     VARIABLEQI:

STD_LOGIC_VECTOR(65535DOWNTO0);

      BEGIN

IFRST='1'THEN--计数器异步复位QI:

=(OTHERS=>'0');

ELSIFSET=’1’THEN--计数器一步置位

QI:

=SETDATA;

ELSIFCLK'EVENTANDCLK='1'THEN--检测时钟上升沿

      IFEN=’1’THEN–检测是否允许计数

IFCHOOSE=’1’THEN--选择加法计数

          QI:

=QI+1;   --计数器加一

           ELSEQI=QI-1;--计数器加一

           ENDIF;

    COUT<=QI;--将计数值向端口输出

ENDPROCESS;

ENDONE;

6-4说明信号和变量的功能特点,以及应用上的异同点。

答:

变量:

变量是一个局部量,只能在进程和子程序中使用。

变量不能将信息带出对它做出定义的当前结构。

变量的赋值是一种理想化的数据传输,是立即发生的,不存在任何延时行为。

变量的主要作用是在进程中作为临时的数据存储单元。

信号:

信号是描述硬件系统的基本数据对象,其性质类似于连接线;可作为设计实体中并行语句模块间的信息交流通道。

信号不但可以容纳当前值,也可以保持历史值;与触发器的记忆功能有很好的对应关系。

6-7什么是重载函数?

重载算符有何用处?

如何调用重载算符函数?

答:

(1)根据操作对象变换处理功能。

(2)用于两个不同类型的操作数据自动转换成同种数据类型,并进行运算处理。

(3)如何调用重载算符函数?

采用隐式方式调用,无需事先声明。

6-8判断下面三个程序中是否有错误,指出错误并给出完整程序。

程序1:

SignalA,EN:

std_logic;

…………………

Process(A,EN)

VariableB:

std_logic;

Begin

ifEN=lthenB<=A;endif;--将“B<=A”改成“B:

=A”

endprocess;

程序2:

Architectureoneofsampleis

variablea,b,c:

integer;

begin

c<=a+b;--将“c<=a+b”改成“c:

=a+b”

end;

程序3:

libraryieee;

useieee.std_logic_1164.all;

entitymux21is

PORT(a,b:

instd_logic;sel:

instd_loglc;c:

outstd_logle;);--将“;)”改成“)”

endsam2;--将“sam2”改成“entitymux21”

architectureoneofmux2lis

begin

--增加“process(a,b,sel)begin”

ifsel='0'thenc:

=a;elsec:

=b;endif;--应改成“ifsel='0'thenc<=a;elsec<=b;endif;”

--增加“endprocess;”

endtwo;--将“two”改成“architectureone”

 

74LS160的程序

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityjishuqiis

port(clk,clr,p,t,load:

instd_logic;

y  :

outstd_logic;

led:

bufferstd_logic;

d  :

instd_logic_vector(3downto0);

q  :

bufferstd_logic_vector(3downto0);

hex:

outstd_logic_vector(6downto0));

endjishuqi;

architecturebehavofjishuqiis

signalcount2:

integerrange0to9;

signalhz   :

integerrange0to1;

begin

process(clk)

variablecount:

integerrange0to25000000;

begin

   if(clk='1'andclk'event)thencount:

=count+1;

   if(count=12500000)thenhz<=1;y<='1';

   elsif(count=25000000)thenhz<=0;y<='0';

   count:

=0;

   endif;

   endif;

endprocess;

----------------------------------------

     process(clr,hz,p,t,d,load,q)

  begin

      ifclr='0'thenq<="0000";

      else

    ifhz=1andhz'eventthen

    ifload='0'thenq<=d;

      elsifload='1'then

     ifp='1'then

      ift='1'then

      ifq="1001"thenq<="0000";led<=notled;

      elseq<=q+1

   endif;

   endprocess;

   process(p,t,d,q)

   begin

   ifp='0'thenq<=q;

   elsift='0'thenq<=q;

   endif;

   endprocess;

  ----------------------------------------

    process(q)

  begin

      caseqis

    when"0000"=>count2<=0;

    when"0001"=>count2<=1;

    when"0010"=>count2<=2;

    when"0011"=>count2<=3;

    when"0100"=>count2<=4;

    when"0101"=>count2<=5;

    when"0110"=>count2<=6;

    when"0111"=>count2<=7;

    when"1000"=>count2<=8;

    when"1001"=>count2<=9;

    whenothers=>count2<=null;

   endcase;

    endprocess;

------------------------------------------

    process(count2)

  begin

    casecount2is

     when0=>hex<="0000001";

     when1=>hex<="1001111";

     when2=>hex<="0010010";

     when3=>hex<="0000110";

     when4=>hex<="1001100";

     when5=>hex<="0100100";

     when6=>hex<="0100000";

     when7=>hex<="0001111";

     when8=>hex<="0000000";

     when9=>hex<="0000100";

        endcase;

  endprocess;

endbehav;

4选1多路选择器

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitymux4isport(d0,d1,d2,d3:

instd_logic;

a0,a1:

instd_logic;

q:

outstd_logic);

endmux4;

architecturebehavioralofmux4issignalsel:

integer;

beginwithselselect

q<=d0after10nswhen0,d1after10nswhen1,d2after10nswhen2,d3after10nswhen3,‘x’after10nswhenother;

sel<=0whena0=‘0’anda1=‘0’else1whena0=‘1’anda1=‘0’else

2whena0=‘0’anda1=‘1’else3whe

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2