基于FPGA的电梯控制器综述.docx

上传人:b****1 文档编号:2802748 上传时间:2023-05-04 格式:DOCX 页数:52 大小:263.37KB
下载 相关 举报
基于FPGA的电梯控制器综述.docx_第1页
第1页 / 共52页
基于FPGA的电梯控制器综述.docx_第2页
第2页 / 共52页
基于FPGA的电梯控制器综述.docx_第3页
第3页 / 共52页
基于FPGA的电梯控制器综述.docx_第4页
第4页 / 共52页
基于FPGA的电梯控制器综述.docx_第5页
第5页 / 共52页
基于FPGA的电梯控制器综述.docx_第6页
第6页 / 共52页
基于FPGA的电梯控制器综述.docx_第7页
第7页 / 共52页
基于FPGA的电梯控制器综述.docx_第8页
第8页 / 共52页
基于FPGA的电梯控制器综述.docx_第9页
第9页 / 共52页
基于FPGA的电梯控制器综述.docx_第10页
第10页 / 共52页
基于FPGA的电梯控制器综述.docx_第11页
第11页 / 共52页
基于FPGA的电梯控制器综述.docx_第12页
第12页 / 共52页
基于FPGA的电梯控制器综述.docx_第13页
第13页 / 共52页
基于FPGA的电梯控制器综述.docx_第14页
第14页 / 共52页
基于FPGA的电梯控制器综述.docx_第15页
第15页 / 共52页
基于FPGA的电梯控制器综述.docx_第16页
第16页 / 共52页
基于FPGA的电梯控制器综述.docx_第17页
第17页 / 共52页
基于FPGA的电梯控制器综述.docx_第18页
第18页 / 共52页
基于FPGA的电梯控制器综述.docx_第19页
第19页 / 共52页
基于FPGA的电梯控制器综述.docx_第20页
第20页 / 共52页
亲,该文档总共52页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA的电梯控制器综述.docx

《基于FPGA的电梯控制器综述.docx》由会员分享,可在线阅读,更多相关《基于FPGA的电梯控制器综述.docx(52页珍藏版)》请在冰点文库上搜索。

基于FPGA的电梯控制器综述.docx

基于FPGA的电梯控制器综述

基于FPGA的电梯控制器

摘要

电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。

本设计就是基于电子设计自动化(ElectronicDesignAutomation)技术中的甚高速集成电路硬件描述语言(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)语言所开发的六层电梯控制程序。

VHDL具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。

本设计介绍了基于VHDL语言设计的电梯控制器,并进行了电路综合和仿真。

该控制器遵循方向优先的原则,提供6个楼层多用户的载客服务并指示电梯的运行情况。

通过程序调试及运行仿真,结果表明,本设计可以完成:

电梯运行所在楼层指示、电梯运行方向指示、关门延时设置、看门狗报警、超载报警、故障报警等。

关键词:

VHDL、控制器、EDA、电梯

 

Abstract

Thelift,asthemodernizedresult,areenteredinourlifeinlastcentury.Thisthesisdesignsthethree-floorliftcontrolonthebasisoftheelectronbasicontheelectronicdesignautomation(EDA)technology’stheveryhigh-speedintegratedcircuithardwaredescribelanguage(VHDL).VHDLhaswiththeconcretehardwareelectriccircuithasnothingtodowithanddesignsthecharacteristicwhichtheplatformhasnothingtodowith,andhasthegoodelectriccircuitbehaviordescriptionandthesystemdescriptionability,andinlanguagelegibleandhasorderlinessthestructurizationdesignaspect,hasdisplayedtheformidablevitalityandtheapplicationpotential.IntroducedbasedontheVHDLlanguagedesignelevatorcontroller,andhascarriedontheelectriccircuitsynthesisandthesimulation.Thiscontrollerfollowsthedirectionfirstprinciple,provides6floorsmultiusertocarrypassengerstoserveandtoinstructtheelevatorthemovementsituation.ThroughtestingtheconnectionoftheEDAcase,realizethefollowingmainfunctions:

Thelift‘sfloorshows,theliftoperationdirectionshows;closesprolongingthedogreportstothepolice;overloadsreportingtothepolicefaultalarm,etc..

Keyword:

VHDL,controller,EDA,elevator

 

1引言

1.1选题背景

随着科学技术的发展、近年来,我国的电梯生产技术得到了迅速发展.一些电梯厂也在不断改进设计、修改工艺。

更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。

目前电梯控制系统主要有三种控制方式:

继电路控制系统(早期安装的电梯多位继电器控制系统)、FPGA/CPLD控制系统、微机控制系统。

继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。

微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。

而FPGA/CPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。

目前国内七八十年代安装的许多电梯电气部分用继电器接触器控制系统,线路复杂,接线多,故障率高,维修保养难,许多已处于闲置状态,其拽引系统多采用交流双速电机系统换速,效率低,调速性能指标较差,严重影响电梯运行质量。

由于这些电梯交流调压调速系统,交流双速电机拖动系统性能及乘坐舒适感较差,交流调压调速系统属能耗型调速的机械部分无大问题,为节约资金,大部分老式电梯用户希望对电梯的电气控制系统进行改造,提高电梯的运行性能。

因此对电梯控制技术进行研究,寻找适合我国老式电梯的改造方法具有十分重要的意义。

电梯作为高层建筑物的重要交通工具与人们的工作和生活日益紧密联系。

FPGA/CPLD作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一。

1.2电梯设计的要求

1)每层电梯入口处设有上下请求开关各1个,电梯内设有乘客到达层次的数字开关。

电梯当前所在的楼层位置用两位数码管显示,用两只发光二极管显示开门/关门状态,用发光二极管显示每层的上下请求状态。

2)显示电梯当前所处位置和电梯上行、下行及开门、关门状态。

3)电梯到达有停靠站请求的楼层后,电梯门就会自动打开门指示灯亮,开门6秒后,电梯门自动关闭(开门指示等灭)电梯继续运行。

4)能记忆电梯内外的所有请求信号,并按照电梯运行的规则次第响应,即电梯上升时只能响应高层的呼唤的请求,下降时只响应低层的呼唤请求,每个请求信号保留到执行后撤出。

5)当没有请求信号时,电梯停在一楼。

6)电梯有超载提示。

当电梯内部超载过电梯规定的人数或重量后,电梯会自动报警,提示电梯已超载。

2设计的基础

现代电子设计技术的核心是EDA技术。

基于EDA技术开发的实现六层电梯自动控制与目前主流的利用可编程逻辑控制器实现电梯控制紧密相连。

硬件描述语言是EDA技术的重要组成部分,VHDL是作为电子设计主流硬件的描述语言。

使用VHDL语言进行程序的设计,在MAX+plusII软件上对程序进行编译、仿真。

在MAX+plusII平台上的开发具有编程软件具有采自易学易懂的梯形图语言、控制灵活方便、抗干扰能力强、运行稳定可靠等优点。

2.1EDA技术介绍

EDA技术是20世纪90年代初从计算机辅助设计(ComputerAidedDesign)、计算机辅助制造(ComputerAidedManufacture)、计算机辅助测试(ComputerAidedTesting)和计算机辅助工程(ComputerAidedEngineering)的概念发展而来的。

随着超大规模集成电路(VeryLargeScaleIntegration)规模和技术复杂度的急剧增长,一块芯片内集成门已可达几十万甚至几百万门,并且还在迅速增长,电子系统的人工设计已十分困难,必需依靠电子设计自动化技术。

在利用EDA进行集成电路设计时,应采用高效率的TOP-DOWN设计方法,即根据系统的行为和功能要求,自上而下地依次完成相应的描述、综合、优化、仿真与验证,直到生成器件。

在电路描述时主要采用硬件描述语言(HDL)。

硬件描述语言是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。

设计者可以利用HDL程序来描述所希望的电路系统,规定其结构性和电路的行为方式;然后利用EDA工具将此程序变成能控制场效应可编程门阵列(FieldProgrammableGateArray)/复杂可编程逻辑器件(ComplexProgrammableLogicDevice)内部结构并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。

现代EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。

它主要采用从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错、并用VHDL、ABEL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证然后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

简单来说就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

2.2VHDL语言概述

2.2.1VHDL简介

硬件描述语言是EDA技术的重要组成部分,VHDL是电子设计的主流硬件描述语言。

VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage。

,诞生于1982年。

1987年底,VHDL被IEEE和国美国国防部却认为标准硬件描述语言。

自IEEE公布了VHDL的标准版本(IEEE1076)之后,数个EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准硬件语言。

1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了型板本的VHDL,即IEEE1076-1993版本。

现在,VHDL作为IEEE的工业标准化硬件描述语言,又得到众多EDA概述的支持,在电子工程领域,已成为事实上的通用硬件描述语言。

2.2.2VHDL的优点

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

应用VHDL进行工程设计的优点是多方面的。

1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。

强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。

符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

4)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

2.2.3VHDL语言的开发

1)语句结构描述中方括号“[]”内的内容为可选内容。

2)VHDL的编译器和综合器对程序文字的大小写是不加区分的。

3)程序中的注释用双“--”。

在VHDL程序的任何一行中,双横线“--”后的文字都不参加便宜的综合。

4)为了便于程序的阅读和调试,书写和输入程序时,使用层次需同一格式,同一层次的对齐,低层次的比高层次的缩进两个字符。

5)为了使用一个VHDL源程序文件能适应各EDA开发软件上的使用要求,建议各个源程序文件的命名均与实体名一致。

2.3大规模可编程逻辑器件

可编程逻辑器件(简称PLD)是一种由用户编程以实现某种逻辑功能的新型逻辑器件。

FPGA和CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称。

国际上生产FPGA/CPLD的主流公司,并且在国内占有市场份额较大的主要是Xilinx,Altera,Lattice三家公司。

Xilinx公司的FPGA器件有XC2000、XC3000、XC4000、XC4000E、XC4000XLA、XC5200系列等,可用门数为1200~18000;Altera公司的CPLD器件有FLEX6000、FLEX8000、FLEX10K、FLEX10KE系列等,提供门数为5000~25000;Lattice公司的ISP–PLD器件有ispLSI1000、ispLSI2000、ispLSI3000、ispLSI6000系列等,集成度可多达25000个PLD等效门。

FPGA在结构上主要分为三个部分,即可编程逻辑单元,可编程输入/输出单元和可编程连线三个部分。

CPLD在结构上主要包括三个部分,即可编程逻辑宏单元,可编程输入/输出单元和可编程内部连线。

高集成度、高速度和高可靠性是FPGA/CPLD最明显的特点,其时钟延时可小至ns级。

结合其并行工作方式,在超高速应用领域和实时测控方面有着非常广阔的应用前景。

在高可靠应用领域,如果设计得当,将不会存在类似于MCU的复位不可靠和PC可能跑飞等问题。

FPGA/CPLD的高可靠性还表现在几乎可将整个系统下载于同一芯片中,实现所谓片上系统,从而大大缩小了体积,易于管理和屏蔽。

与ASIC设计相比,FPGA/CPLD显著的优势是开发周期短、投资风险小、产品上市速度快、市场适应能力强和硬件升级回旋余地大,而且当产品定型和产量扩大后,可将在生产中达到充分检验的VHDL设计迅速实现ASIC投产。

 

3总体方案选择

电梯的微机化控制主要有以下几种形式:

1PLC控制;2单板机控制;3单片机控制;4单微机控制;5多微机控制;6人工智能控制。

随着EDA技术的快速发展,CPLD/FPGA已广泛应用于电子设计与控制的各个方面。

但是本设计屏弃以前老式的采用PLC设计电梯控制器,而是使用一片来实现对电梯的控制的。

3.1基于PLC技术的电梯控制设计方案

可编程控制系统是一种专门为在工业环境下应用而设计的数字运算操作电子系统。

它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。

可编程控制器是计算机技术与自动化控制技术相结合而开发的一种适用工业环境的新型通用自动控制装置,是作为传统继电器的替换产品而出现的。

随着微电子技术和计算机技术的迅猛发展,可编程控制器更多地具有了计算机的功能,不仅能实现逻辑控制,还具有了数据处理、通信、网络等功能。

由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业控制的各个领域,大大推进了机电一体化的进程。

PLC的特点可综述如下:

(1)高可靠性

(2)编程简单,使用方便(可采用梯形图编程方式,与实际继电器控制电路非常接近,一般电气工作者很容易接受)(3)环境要求低(适用于恶劣的工业环境)(4)体积小,重量轻(5)扩充方便。

3.2PLC电梯的缺点

当今电梯市场已经有多种电梯控制器的设计方案。

但大多数仍然是采用PLC实现电梯的升降控制,但是采用PLC实现电梯控制器的设计存在大量的缺点。

如果采用硬件描述语言来完成电梯控制器的设计,就可以克服PLC电梯的大部分缺点。

目前,在我国国产电梯大部分为继电器及PLC控制方式,继电器控制系统性能不稳定、故障率高,大大降低了电梯的舒适性、可靠性和安全性,经常造成停梯,给乘用人员的生活和工作带来了很多不便,因而传统的电梯控制系统(PLC)的更新势在必行。

当前电梯发展的智能化发方向是IC卡智能电梯,但最终的发展是声控智能电梯,IC卡智能电梯PLC都需通过外加IC读卡芯片才能实现,PLC单独是不能实现的,那以后的声控智能电梯PLC就能不能单独实现,然而我们采用VHDL技术就可以实现电梯的智能化,节能,也可以提高电梯的舒适性,可靠性和安全性。

3.3基于EDA技术的电梯控制设计方案

EDA技术开发手段多样,其中应用最为广泛的就是通过程序对硬件进行开发,而其中又数VHDL语言最受设计者的欢迎。

EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。

近几年来,硬件描述语言等设计数据格式的逐步标准化,不同设计风格和应用的要求导致各具特色的EDA工具被集成在同一个工作站上,从而使EDA框架日趋标准化。

VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

VHDL语言的设计单元包括实体(entity)、结构体(architecture)、程序包(package)以及配置(configuration)。

初级设计单元――实体(entity),是设计的基本模块和设计的初级单元,在分层次设计中,顶层有顶级实体,含在顶级实体中的较低层次的描述为低级实体,靠配置把顶层实体和底层实体连接起来。

实体说明中还可以说明数据类型、子程序和常量等数据信息,实体语句常用于描述设计常用到的判断和检查信息。

次级设计单元――结构体(architecture),实体的结构体具有描述实体的行为功能,一个实体可以有多个结构体,一种可能为行为描述,另一种为结构描述。

结构体能以行为、数据流和结构等多种方式描述实体。

VHDL语言还包括程序包和配置初级设计单元。

VHDL语言的基本术语往往能体现其严密的逻辑结构:

VHDL语言的基本术语包括进程(process)、类属(generic)、属性(attribute)以及驱动(drive)。

进程(process)是VHDL中的基本执行单元,仿真时把所有的操作划分为单个或多个进程。

进程内部只含顺序执行的语句,即一串信号赋值中仅最后的赋值有效。

进程内不能说明信号,而变量在进程内说明。

其具体的流程图如下图所示。

 

3.4电梯控制方式选择

3.4.1.内部请求优先控制方式

内部请求优先控制方式类似于出租车的工作方式,先将车上的人送至目的地,再去载客。

作为通用型电梯应该服务于大多数人,必须考虑电梯对内、外请求的响应率P:

Pin=100%;

Pout=0-100%;

在内部请求优先控制方式中,当电梯外部人的请求和电梯内部人状态请求冲突时,外部人的请求信号可能被长时间忽略,因而它不能作为通用型电梯的设计方案。

3.4.2.单向层层停控制方式

单向层层停控制方式等同于火车的运行方式,遇站即停止、开门。

这种方案的优点在于“面面俱到”,可以保证所有人的请求都能得到响应。

然而这样对电梯的效率产生消极影响:

不必要的等待消耗了大量时间,而且电梯的运作与用户的请求无关,当无请求时电梯也照常跑空车,就浪费了大量电能。

对用户而言,此种控制方式的请求响应时间也不是很快。

因而这不是理想的方案。

3.4.3.方向优先控制方式

方向优先控制是指电梯运行到某一楼层时先考虑这一楼层是否有请求:

有,则停止;无,则继续前进。

停下后再启动时,①考虑前方——上方、或下方是否有请求:

有,则继续前进;无,则停止;②检测后方是否有请求,有请求则转向运行,无请求则维持停止状态。

这种运作方式下,电梯对用户的请求响应率为100%,且响应的时间较短。

假设:

电梯每两层间的运行时间为ΔT,楼层数为6,在每层楼的停止时间为t,如果每层楼都有请求,则这种控制方式的效率和上面的单向每层停等控制方式的效率一样,然而,当不是每层楼都有请求(假定为只有第6层有请求输入)时,上面的方式2(设为A方式)的响应时间

T=5*(ΔT+t)

而方向优先控制方式(设为B方式)对同一请求的响应时间

T1=5*ΔT

效率比

ηb/ηa=1+t/ΔT

方向优先控制方式的效率远大于单向层层停等控制方式的效率。

而且,方向优先控制方式下,电梯在维持停止状态的时候可以进入省电模式,又能节省大量电能,

本设计选择方向优先控制方式

3.3总体方案决定

本次设计尝试用硬件描述语言(VHDL)来实现电梯控制,可进行多层次的逻辑设计,也可进行仿真验证、时序分析等以保证设计的正确。

在使用VHDL进行电梯控制器的设计,主要就是对电梯软件部分进行设计,使用VHDL中的逻辑关系建立电梯的升降模式,开门,关门达到动作,而外部的硬件设备基本上保持不变。

但是使用VHDL硬件描述语言设计电梯控制器可以为以后电梯实现智能控制奠定基础。

 

4电梯控制器的模块设计

4.1电梯系统组成

电梯方向优先控制方式控制系统方框图

4.2模块设计

4.2.1.外部数据高速采集模块设计

对外部信号采集、处理要求电梯控制器:

(1)外部请求信号的实时、准确采集。

(2)准确、实时的捕捉楼层到达信号。

(3)有效的防止楼层到达信号、外部请求信号的误判。

控制器采用FPGA作为系统控制的核心,系统时钟频率是32.0000MHz,完全可以满足实时采集数据的要求。

由于电路中毛刺现象的存在,信号的纯净度降低,单个的毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。

可以采用多次检测的方法解决这个问题,对一个信号进行多次采样以保证信号的可信度。

外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门中断信号及超载信号则产生于压力传感器。

4.2.2.信号存储模块

电梯控制器的请求输入信号有16个(电梯外有5个上升请求和5个下降请求的用户输入断口,电梯内有6个请求用户输入断口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器内。

电梯运行过程中,由于用户的请求信号的输入是离散的,而且系统对请求的响应也是离散的,因此请求信号的存储要求新的请求信号不能覆盖原来的请求信号,只有响应动作完成后才能清除存储器内对应的请求信号位。

4.2.3.基于FPGA的中央处理模块

中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。

电梯工作过程中共有9种状态:

等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。

一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。

超载状态时电梯关门动作取消,同时发出警报,直到警报被清除;故障时电梯不执行关门动作,同时发出警报,直到警报被清除(看门狗信号有效的条件是一层楼连续发生关门中断情况超过3次)。

本系统由请求信号启动,运行中每检测到一个到达楼层信号,就将信号存储器的请求信号和楼层状态信号进行比较,再参考原方向信号来决定是否停止,转向等动作。

4.2.4.信号的输出、显示模块

本系统的输出信号有两种:

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2