基于FPGA的六层电梯控制器.doc

上传人:b**** 文档编号:14733813 上传时间:2023-06-26 格式:DOC 页数:24 大小:416.54KB
下载 相关 举报
基于FPGA的六层电梯控制器.doc_第1页
第1页 / 共24页
基于FPGA的六层电梯控制器.doc_第2页
第2页 / 共24页
基于FPGA的六层电梯控制器.doc_第3页
第3页 / 共24页
基于FPGA的六层电梯控制器.doc_第4页
第4页 / 共24页
基于FPGA的六层电梯控制器.doc_第5页
第5页 / 共24页
基于FPGA的六层电梯控制器.doc_第6页
第6页 / 共24页
基于FPGA的六层电梯控制器.doc_第7页
第7页 / 共24页
基于FPGA的六层电梯控制器.doc_第8页
第8页 / 共24页
基于FPGA的六层电梯控制器.doc_第9页
第9页 / 共24页
基于FPGA的六层电梯控制器.doc_第10页
第10页 / 共24页
基于FPGA的六层电梯控制器.doc_第11页
第11页 / 共24页
基于FPGA的六层电梯控制器.doc_第12页
第12页 / 共24页
基于FPGA的六层电梯控制器.doc_第13页
第13页 / 共24页
基于FPGA的六层电梯控制器.doc_第14页
第14页 / 共24页
基于FPGA的六层电梯控制器.doc_第15页
第15页 / 共24页
基于FPGA的六层电梯控制器.doc_第16页
第16页 / 共24页
基于FPGA的六层电梯控制器.doc_第17页
第17页 / 共24页
基于FPGA的六层电梯控制器.doc_第18页
第18页 / 共24页
基于FPGA的六层电梯控制器.doc_第19页
第19页 / 共24页
基于FPGA的六层电梯控制器.doc_第20页
第20页 / 共24页
亲,该文档总共24页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于FPGA的六层电梯控制器.doc

《基于FPGA的六层电梯控制器.doc》由会员分享,可在线阅读,更多相关《基于FPGA的六层电梯控制器.doc(24页珍藏版)》请在冰点文库上搜索。

基于FPGA的六层电梯控制器.doc

《EDA技术》项目设计报告

题目:

基于FPGA的六层电梯控制器

学院:

电子与信息工程学院

专业:

电子信息科学与技术

姓名:

XXX

班级:

14电信本

(2)班

学号:

140919022

指导老师:

XXX

二〇一六年十二月

1、绪论 2

1.1电梯控制器的发展现状 2

1.2本次设计的主要内容 3

1.3设计原理与思路 4

1.4硬件设计 4

1.5软件设计 5

2、FPGA硬件结构知识 6

2.1FPGA概述 6

2.2FPGA体系结构 6

2.3FPGA常用芯片介绍 7

3、VHDL硬件描述语言 7

3.1VHDL语言特点 7

3.2状态机的VHDL实现 8

4、电梯控制器的工作原理 8

5、电梯控制系统的设计内容 9

5.1时钟分频模块 9

5.2按键处理模块 11

5.3电梯运行控制模块 13

5.4数码管显示模块 19

5.5电梯超重控制可行性分析 20

6、电梯控制器顶层图形 21

7、总结 23

1、绪论

1.1电梯控制器的发展现状

国家规定超过六楼以上的楼层必须按要求安装电梯,而且随着超高层建筑的出现,电梯的应用越来越来广泛了,与此同时,对电梯的要求也越来越高了。

目前,电梯的设计、工艺不断提高,电梯的品种也逐渐增多,电梯的材质由黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新:

手柄开关操纵、按钮控制、信号控制、集选控制、人机对话等;多台电梯还出现了并联控制、智能群控;双层轿箱电梯展示出节省井道空间,提升运输能力的优势,变速式自动人行道扶梯大大节省了行人的时间;不同外形的电梯则使身处其中的乘客的视线不再封闭。

电梯的结构分为:

四大空间,八大系统;四大空间:

机房部分、井道及地坑部分、轿厢部分、层站部分;八大系统:

曳引系统、导向系统、轿厢、门系统、重量平衡系统、电力拖动系统、电气控制系统、安全保护系统;电梯的功能结构决定电梯的八大应用技术:

1)全数字识别乘客技术(所有乘客进入电梯前进行识别,其中包括眼球识别、指纹识别)

2)数字智能型安全控制技术(通过乘客识别系统或者IC卡以及数码监控设备,拒绝外来人员进入)

3)第四代无机房电梯技术(主机必须与导轨和轿厢分离,完全没有共振共鸣,速度可以达到2.0M/S以上,最高可以使用在30层以上。

4)双向安全保护技术(双向安全钳、双向限速器,在欧洲必须使用,中国正在被普遍使用)

5)快速安装技术(改变过去的电梯安装方法,能够快速组装)

6)节能技术(采用节能技术,使电梯更节约能源)

7)数字监控技术(完全采用计算机进行电梯监控与控制)

8)无线远程控制及报警装置(当电梯产生故障时,电梯可以通过无线装置给手机发送故障信息,并通过手机发送信号对电梯进行简单控制。

本次设计主要是控制电梯的运行模式和状态,对信号进行处理的模块,重点在对响应的信号进行处理,并将处理结果反馈给对应功能的控制端口,实现对电梯运行的全面控制。

1.2本次设计的主要内容

随着可编程逻辑电路和EDA技术的发展,在逻辑电路设计和嵌入式系统设计方面,以CPLD/FPGA为代表的可编程逻辑器件已经逐步代替了传统的标准逻辑器件;本次设计的电梯控制器所有的程序可以集成在一个FPGA开发芯片上面,不用在用其他功能的分立逻辑元件,达到集成度高、响应快、功耗低的特点。

本次设计是基于FPGA的电梯控制器的研究,是电梯控制的核心技术,通过电梯控制器可以对电梯运行模式和状态进行全面的控制,这也是次设计的研究重要性;电梯的层数为6层,本次设计采用模块化设计,主要分为四大模块:

时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块。

由于FPGA技术近些年来蓬勃发展,而且在很多领域已经应用的十分成熟,用FPGA可以实现对电梯精确、实时性控制,而且用于FPGA开发的芯片都是一些微处理器芯片,便于集成和智能化设计,而且大大缩短了开发周期。

1.3设计原理与思路

本次设计是实现6层电梯的运行控制,当在某一楼层按下上升或者下降请求按钮时,控制器响应该请求并控制电梯前往该楼层,当到达该楼层时电梯开门,当进入电梯后,电梯关门,此时按下要到达的楼层按钮,控制器响应该请求并控制电梯前往该楼层,当到达前往楼层后,电梯开门,走出电梯,然后关门......,就这样往复的实现电梯的控制功能。

电梯运行时遵循如下规则:

当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行;直到最后一个上楼请求执行完毕,如有更高层有下楼请求时,则直接升到有下降请求的最高楼,然后进入下降模式,电梯处于下降模式时,则与上升相反。

电梯的输入信号主要包括外部输入信号和内部输入信号;对于电梯外部输入信号:

每一层电梯门外都有上升请求和下降请求按钮,其中一楼电梯门外只有上升请求按钮,六楼电梯门外只有下降请求按钮。

对于电梯内部输入信号主要有:

6个前往楼层的按钮、提前关门按钮、延时关门按钮、电梯异常按钮。

电梯输出信号也主要包括外部输出信号和内部输出信号;对于电梯外部输出信号包括上升请求按钮和下降按钮指示信号、电梯当前所在楼层指示信号、电梯运行方向指示信号。

电梯内部输出信号包括6个前往楼层按钮指示信号、超重等警告指示信号、电梯当前所在楼层指示信号、电梯运行方面指示信号。

1.4硬件设计

本次设计主要是通过Altera公司生产的CycloneIII这一款芯片进行功能的实现,CycloneIII器件采用TSMC90nm低K绝缘材料工艺技术,这种技术结合Altera低成本的设计方式,使之能够在更低的成本下制造出更大容量的器件。

这种新的器件比第一代Cyclone产品具有两倍多的I/O引脚,且对可编程逻辑的存储块和其它特性进行了最优的组合,具有许多新的增强特性。

CycloneIII器件包含了许多新的特性,如嵌入存储器、嵌入乘法器、PLL和低成本的封装,这些都为诸如视频显示、数字电视(DTV)、机顶盒(STB)、DVD播放器、DSL调制解调器、家用网关和中低端路由器等批量应用进行了优化。

在EDA设计的开发板上面,支持SOPC片上可编程,在CycloneIII芯片旁边外围有按键模块、液晶显示模块、LED指示灯等,通过QuartusⅡ平台将硬件描述语言下载至该芯片中,然后进行程序的调试、运行并进行功能的实现。

1.5软件设计

Altera的FPGA设计主要采用两种标准语言:

VHDL/VerilogHDL。

其中VerilogHDL出现早,运用广泛,而且比较简单,在NIOS项目里运用较多;而VHDL语言功能强大,语句相对要复杂一些,所以对于现在一些复杂的可编程项目多采用VHDL语言编写。

VHDL(Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage)主要用于描述数字系统的结构、行为、功能和接口。

除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。

VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分、端口)和内部(或称不可视部分),涉及实体的内部功能和算法完成部分。

在对一个设计实体定义了外部界面,一旦其内部开发完成后,其他的设计就可以直接调用这个

实体。

如下图4-1是VHDL的层次模型:

图4-1具有不同构造体的VHDL模型

VHDL语言具有强大的语言结构,只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。

同时,它还具有多层次的电路设计描述功能:

既支持自顶向下的设计方式,也支持自底向上的设计方法;既支持模块化设计方法,也支持层次化设计方法;既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。

VHDL语言具有很强的移植能力,对于同一个硬件电路的VHDL语言描述,它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。

VHDL语言采用基于库(library)的设计方法。

在设计过程中,设计人员可以建立各种可再次利用的模块,一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计,而是一些模块的累加,这些模块可以预先设计或者使用以前设计中的存档模块,将这些模块存放在库中,就可以在以后的设计中进行复用。

本次设计主要是运用VHDL语言进行程序的编写,采用自顶向下、模块化设计方法。

按照要求可以分为:

时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块;分别设计出这四个模块之后,进行调试、运行、功能仿真和时序分析,然后将生产的模块图在原理图编辑器中连接起来,组成完整的电梯控制器。

2、FPGA硬件结构知识

2.1FPGA概述

FPGA(FieldProgrammableGateArry)即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点;FPGA采用了逻辑单元阵列LCA(LogicCellArray)这样一3个概念,内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)、输入输出模块IOB(InputOutputBlock)和内部连线(Interconnect)三个部分。

2.2FPGA体系结构

FPGA采用逻辑单元阵列(LCA,LogicCellArray)新概念,内部包括可配置逻辑模块(CLB,ConfigurableLogicBlock)、输入输出模块(IOB,InputOutputBlock)和内部互连资源(IR,InterconnectResources)三部分组成。

1)可配置逻辑块(CLB,ConfigurableLogicBlock)是FPGA的主要组成部分,主要是由逻辑函数发生器、触发器、数据选择器等电路组成。

2)输入输出模块(IOB,InputOutputBlock)提供了器件引脚和内部逻辑阵列之间的连接,主要是由输入触发器、输入缓冲器和输出触发、锁存器、输出缓冲器组成。

3)可编程互连资源(IR,InterconnectResources)可以将FPGA内部的CLB和CLB之间、CLB和IOB之间连接起来,构成各种具有复杂功能的系统,IR主要由许多金属线段构成,这些金属线段带有可编程开关,通过自动布线实现各种电路的连接。

2.3FPGA常用芯片介绍

目前世界上有很多生产FPGA芯片的公司,常用的主要有:

Altera、XIlinx、Lattice和Actel,其中Altera和XIlinx占据主流市场。

1)Altera的主流FPGA分为两大类:

一种是侧重低成本、容量中等、性能可以满足一般逻辑设计要求的,如Cyclone系列;另一种是侧重高性能、容量大、性能可以满足各类高端应用,如Stratix系列。

2)XIlinx是FPGA发明者,是老牌PLD,产品的种类较全,主要有:

XC9500/4000、CoolRunner(XPLA3)、Spartan、Virtex等系列。

3)Lattice是在系统编程(ISP)技术的发明者,主要有:

ispLSI2000/5000/8000、MACH4/5、ispMACH4000等系列。

4)Actel公司是世界反熔丝技术的FPGA领先供应商,主要有两大系列的反熔丝FPGA产品SX-A和MX高速系列。

3、VHDL硬件描述语言

3.1VHDL语言特点

VHDL(Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage)是一种标准的硬件描述语言,中文意思是超高速集成电路硬件描述语言;利用VHDL进行系统行为级设计已经成为FPGA与ASIC设计的主流,使用VHDL不仅可以快速的描述和综合FPGA设计,还可以提供一下的一些性能。

1)功能强大且很具有灵活性,VHDL具有很强大的语言结构,可以用简洁明确的代码描述复杂的控制逻辑,语言很灵活,支持设计库和可重复使用的元件生成。

2)不依赖于器件的设计,VHDL允许设计者生成一个设计而不需要首先选择一个用来实现设计的器件,对于同一个设计描述,可以采用多种不同的器件结构来实现其功能。

3)具有可移植性,因为VHDL是一种标准的语言,所以它可以被不同的工具所支持,这意味着同一个VHDL设计描述可以在不同的设计项目中采用

4)可以对其设计性能进行评估,设计者可以进行一个完整的设计描述,并对其进行综合,生产选定的器件结构的逻辑功能,然后评估结果,选用最适合设

计需求的器件。

5)上市时间快、成本低、开发周期短,VHDL语言的设计将大大提高数字单片化设计实现的速度,为生产者大大节约开发成本。

3.2状态机的VHDL实现

有限状态机以及其设计技术是使用数字系统设计中的重要组成部分,也是实现高效率、高可靠和高速控制逻辑系统的重要途径,广义而论,只要是涉及到触发器的电路,都属于状态机,由此也可以看出其重要性。

用VHDL设计的状态机根据不同的标准可以分为不同的形式:

1)从状态机的信号输出方式上分:

Mealy型和Moore型;

2)从状态机的描述结构上分:

单进程状态机和多进程状态机;

3)从状态机表达形式上分:

符号化状态机和确定状态编码状态机;

4)从状态机编码方式上分:

顺序编码状态机、一位热编码状态机和其他编码方式状态机。

实际设计过程中,接触最多的分类方法就是Mealy型和Moore型;从输出时序上看,前者是异步输出,后者是同步输出。

Mealy型状态机的输出是当前状态和所有输入信号的函数,它的输出是输入变化后立即变化的,不依赖时钟的同步。

Moore型状态的输出仅为当前状态的函数,但是在输入发生变化时还必须等到时钟的到来,输出才会变化,由此可见,Moore型要多等待一个时钟周期。

本次设计中,电梯控制模块部分就利用Moore型状态机的方法实现对电梯运行状态的同步控制,通过将电梯运行过程分解为一些实质性的状态来进行转换,使得电梯的运行变得方便、快捷、稳定。

4、电梯控制器的工作原理

本次设计是实现6层电梯的运行控制,当在某一楼层按下上升或者下降请求按钮时,控制器响应该请求并控制电梯前往该楼层,当到达该楼层时,电梯开门,当进入电梯后,电梯关门,此时按下要到达的楼层按钮,控制器响应该请求并控制电梯前往该楼层,当到达前往楼层后,电梯开门,走出电梯,然后关门......,就这样往复的实现电梯的控制功能。

在电梯运行时遵循如下规则:

当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行;直到最后一个上楼请求执行完毕,如有更高层有下楼请求时,则直接升到有下降请求的最高楼,然后进入下降模式,电梯处于下降模式时,则与上升相反。

电梯的输入信号主要包括外部输入信号和内部输入信号;对于电梯外部输入信号主要有:

每一层电梯门外都有上升请求和下降请求按钮,其中一楼电梯门外只有上升请求按钮,6楼电梯门外只有下降请求按钮。

对于电梯内部输入信号主要有:

6个前往楼层的按钮、提前关门按钮、延时关门按钮、电梯异常按钮。

电梯输出信号也主要包括外部输出信号和内部输出信号;对于电梯外部输出信号包括上升请求按钮和下降按钮指示信号、电梯当前所在楼层指示信号、电梯运行方向指示信号。

电梯内部输出信号包括6个前往楼层按钮指示信号、超重等警告指示信号、电梯当前所在楼层指示信号、电梯运行方面指示信号。

5、电梯控制系统的设计内容

本次设计是基于FPGA的电梯控制器的研究,是电梯控制的核心技术,通过电梯控制器可以对电梯运行模式和状态进行全面的控制,这也是次设计的研究重要性;电梯的层数为6层,本次设计采用模块化设计方法,主要分为四大模块:

时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块。

其方框原理图5-0如下:

图5-0电梯控制器原理图

5.1时钟分频模块

时钟分频模块功能是将系统频率分频为两种不同的频率,一个是2分频时钟供电梯运行控制模块使用,另一个8分频时钟供其他模块使用,其源代码如下:

时钟分频模块:

clkdiv.vhd

LIBRARYieee;

USEieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;--定义所用的标准库

ENTITYclkdivIS --时钟分频寄存器(CLKDIV)

PORT(

clk:

inoutstd_logic;--系统时钟频率

clk_2hz:

outstd_logic;--2分频脉冲(作为电梯控制时钟

clk_8hz:

outstd_logic--8分频脉冲(作为按键处理时钟)

);--定义输入输出变量

endclkdiv;

ARCHITECTUREbehaveOFclkdivIS

signalcnt:

std_logic_vector(7downto0);--定义一个8位的变量

beginprocess(clk)--时钟分频进程

begin

ifclk'eventandclk='1'then--时钟脉冲上升沿有效

cnt<=cnt+1;

clk_8hz<=cnt

(1);--变量cnt的第1位输出2分频

clk_2hz<=cnt(4);--变量cnt的第3位输出8分频

endif;

endprocess;

endbehave;

源程序编写完成后,进行调试、运行并生成模块文件,确认没有错误之后进行功能仿真、时序分析。

其模块原理图5-1如下:

图5-1时钟分频模块原理图

5.2按键处理模块

按键处理模块的功能是把电梯外部与内部的按键信号进行处理,把处理好的按键信号送到电梯运行控制模块;根据电梯外部按键信号转化为电梯运行控制模块的外部请求信号,根据电梯内部的按键信号转化为电梯运行控制模块的电梯前往信号,根据电梯楼层信号及时清除电梯外部与内部按键信号。

其源代码编写如下:

按键处理模块:

keypro.vhd

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

entitykeyprois

port( clk:

instd_logic; --按键时钟

reset:

instd_logic; --异步置位按键

fup:

instd_logic_vector(5downto1);--上升请求按键(低电平有

fdn:

instd_logic_vector(6downto2);--下降请求按键(低电平有效)

fuplight:

outstd_logic_vector(6downto1);--电梯外部上升请求指示灯

fdnlight:

outstd_logic_vector(6downto1);--电梯外部下降请求指示灯

st:

instd_logic_vector(6downto1); --电梯内部各层请求按键

stlight:

outstd_logic_vector(6downto1);--电梯内部各层请求指示灯

position:

inintegerrange1to6 ; --电梯位置指示

clearup:

instd_logic;--用于清除上升请求指示灯的信号

cleardn:

instd_logic--用于清除下降请求指示灯的信号

);

endkeypro;

architecturebehaveofkeyprois--控制按键信号灯进程

begin

process(reset,clk)

begin

ifreset='0'then

stlight<="000000";

fuplight<="000000";

fdnlight<="000000";

else

ifclk'eventandclk='1'then

--清除电梯当前外部上升请求信号和电梯内部前往该楼层信号

ifclearup='1'then

stlight(position)<='0';

fuplight(position)<='0';

else

fuplight<=(notfup)&'0';

stlight<=notst;

endif;

--清除电梯当前外部下降请求信号和电梯内部前往该楼层信号

ifcleardn='1'then

stlight(position)<='0';

fdnlight(position)<='0';

else

fdnlight<='0'&(notfdn);

stlight<=notst;

endif;

endif;

endif;

endprocess;

endbehave;

源程序编写完成后,进行编译、运行并生成模块文件,确认没有错误之后进行波形仿真、时序分析。

其模块原理图5-2如下:

图5-2按键处理模块原理图

5.3电梯运行控制模块

电梯运行控制模块是此次设计的核心,电梯运行的模式和状的态控制效果完全取决于电梯控制模块,它的功能是在系统2分频时钟下,根据按键处理模块输出的信号,控制电梯上升与下降运行模式和状态,当电梯关门时输出相应的上升与下降清除信号,同时输出电梯当前所在楼层的数码管输出信号与电梯运行方向,本次是设计的6层电梯控制系统,在源程序中具体要体现一下功能:

1)每层电梯(除1楼和6楼外,1楼只有上升请求按钮,6楼只有下降请求按钮)入口出设置有上升和下降请求按钮,电梯内部设有要到达楼层的请求按钮1-6楼。

2)设有电梯所处位置数码管显示部分,电梯运行模式的LED显示部分。

3)电梯能记忆电梯外部所有信号请求,并按照电梯运行规则进行顺序响应,每个电梯请求信号保留到电梯响应结束。

4)电梯运行规则:

当电梯上升时候,只响应比电梯所在楼层高的上楼信号,由下到上依次执行,直到最后一个上升请求信号执行完毕,当电梯下降时候,只响应比电梯所在楼层低的下楼信号,由上到下依次执行,直到最后一下下降请求信号执行完毕。

电梯运行控制模块的源程序如下:

电梯运行控制模块:

elevrun.vhd

libraryieee;

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > IT计算机 > 电脑基础知识

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2