石经院多进制数字振幅调制系统设计Word格式.docx

上传人:b****1 文档编号:4416584 上传时间:2023-05-03 格式:DOCX 页数:9 大小:72.29KB
下载 相关 举报
石经院多进制数字振幅调制系统设计Word格式.docx_第1页
第1页 / 共9页
石经院多进制数字振幅调制系统设计Word格式.docx_第2页
第2页 / 共9页
石经院多进制数字振幅调制系统设计Word格式.docx_第3页
第3页 / 共9页
石经院多进制数字振幅调制系统设计Word格式.docx_第4页
第4页 / 共9页
石经院多进制数字振幅调制系统设计Word格式.docx_第5页
第5页 / 共9页
石经院多进制数字振幅调制系统设计Word格式.docx_第6页
第6页 / 共9页
石经院多进制数字振幅调制系统设计Word格式.docx_第7页
第7页 / 共9页
石经院多进制数字振幅调制系统设计Word格式.docx_第8页
第8页 / 共9页
石经院多进制数字振幅调制系统设计Word格式.docx_第9页
第9页 / 共9页
亲,该文档总共9页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

石经院多进制数字振幅调制系统设计Word格式.docx

《石经院多进制数字振幅调制系统设计Word格式.docx》由会员分享,可在线阅读,更多相关《石经院多进制数字振幅调制系统设计Word格式.docx(9页珍藏版)》请在冰点文库上搜索。

石经院多进制数字振幅调制系统设计Word格式.docx

1、应用通信类软件完成通信系统相关内容的设计和建模,并仿真出正确结果,

对仿真波形加以重点分析和说明。

2、按要求格式书写报告,原理充分、设计方法及仿真结果分析正确、条理清晰、重点突出。

三、实习内容

(1)实习题目

多进制数字振幅调制系统设计

(2)设计原理

多进制数字振幅调制又称多电平振幅调制,它用高频载波的多种振幅去代表数字信息。

上图为四电平振幅调制,高频载波有u0(t)、u1(t)、u2(t)、u3(t)四种。

振幅为0、1A、2A、3A,分别代表数字信息0、1、2、3或者双比特二进制输入信息00、01、10、11进行振幅调制。

已调波一般可表示为

g(t)是高度为1、宽度为TS的矩形脉冲,且有

为易于理解,将波形上图所示。

显然图(c)中各波形的叠加便构成了图(b)的波形。

由上图可见,M进制ASK信号是M个二进制ASK信号的叠加。

那么,MASK信号的功率谱便是M个二进制ASK信号功率谱之和。

因此,叠加后的MASK信号的功率谱将与每一个二进制ASK信号的功率谱具有相同的带宽。

所以其带宽

(3)设计方法

MASK信号的产生

MASK信号与二进制ASK信号产生的方法相同,可利用乘法器实现。

解调也与二进制ASK信号相同,可采用相干解调和非相干解调两种方式。

M进制振幅调制方框图:

实现多电平调制的方框原理如上图所示,它与二进制振幅调制的方框原理非常相似。

不同之处是在发信输入端增加了2-M电平变换,相应在接收端应有M-2电平变换。

另外该电路的取样判决器有多个判决电平,因此多电平调制的取样判决电路比较复杂。

实际系统中,取样判决电路可与M-2电平变换合成一个部件,它的原理类似于A/D变换器。

多电平解调与二进制解调相似,可采用包络解调或同步解调。

多进制数字振幅调制与二进制振幅调制相比有如下特点:

(1)在码元速率相同的条件下,信息速率是二进制的log2M倍。

(2)当码元速率相同时,多进制振幅调制带宽与二进制相同。

(3)多进制振幅调制的误码率通常远大于二进制误码率。

当功率受限时,M越大,误码增加越严重。

(4)多进制振幅调制不能充分利用发信机功率。

MASK调制方框图:

MASK调制电路符号

(4)仿真结果及分析

MASK调制VHDL程序与仿真

--文件名:

MASK

--功能:

基于VHDL硬件描述语言,对基带信号进行MASK调制

--说明:

这里MASK中的M为4

libraryieee;

useieee.std_logic_arith.all;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityMASKis

port(clk:

instd_logic;

--系统时钟

start:

--开始调制信号

x:

基带信号

y:

outstd_logic_vector(7downto0));

--8位DAC数据

endMASK;

architecturebehavofMASKis

signalq:

integerrange0to7;

--计数器

signalqq:

integerrange0to3;

signalxx:

std_logic_vector(3downto0);

--并行数据寄存器

signalyy:

std_logic_vector(7downto0);

--8位DAC数据寄存器

begin

process(clk)--此进程完成基带信号的串并转换,

--完成4位并行数据到8位DAC数据的译码

ifclk'

eventandclk='

1'

then

ifstart='

0'

thenq<

=0;

elsifq=0thenq<

=1;

xx(3)<

=x;

ifxx(3)='

thenyy<

=xx&

"

1111"

;

--if语句完成4位并行数据到8位DAC数据转换

elsifxx

(2)='

1011"

elsifxx

(1)='

0111"

elsifxx(0)='

0011"

elseyy<

0000"

endif;

elsifq=2thenq<

=3;

xx

(2)<

elsifq=4thenq<

=5;

xx

(1)<

elsifq=6thenq<

=7;

xx(0)<

elseq<

=q+1;

endif;

endprocess;

process(clk)--对8位DAC数据进行ASK调制

then

thenqq<

elsifqq<

2thenqq<

=qq+1;

y<

="

00000000"

elsifqq=2thenqq<

=yy;

elseqq<

endbehav;

(5)结论

MASK调制程序仿真图及注释

四、参考文献

(1)邓勇、周择、邓斌著《数字电路设计完全手册》.国防工业出版社。

2004;

(2)朱正伟著《EAD技术及应用》.清华大学出版社。

2005;

(3)全国大学生电子设计组委会著《电子系统设计实践》2005;

(4)林明权著《VHDL数字控制系统设计范例》.电子工业出版社

(5)冯涛著《可编程逻辑器件开发技术MAX+plusⅡ入门与提高》人民邮电出版社

(6)王毓银著《数字电路逻辑设计》高等教育出版社

(7)赵俊超著《集成电路设计VHDL语言教程》北京希望出版社

五、实习体会

这次课程设计与以往不同,不再是动手焊接制作一个实物,我们做的是编程。

我选的题目是基于VHDL的多进制数字振幅调制系统设计。

在编程之前,我们先复习EDA、数字信号处理、信息论与编码,还从网上查了大量的资料。

第一次编的程序存在很在很多的错误,比如定义和文件名不一样,标点符号错误等等根据错误提示我们一个一个的修正,编译只是第一步,编译完了,仿真的时候依然出现了问题,通过又一次分析,我们终于成功的完成了。

这次课程设计,我懂得了光学会了课本上的知识是远远不够的。

通过三周的课程设计,我们不仅巩固了课本知识,增强了编程的能力,还为下学期的毕业设计打下了良好的基础。

六、实习效果评价

指导教师评语:

实习成绩:

优良中及格不及格

指导教师签名:

年月日

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工程科技 > 能源化工

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2