通信原理数字频带传输系统课程设计.docx

上传人:b****4 文档编号:4833427 上传时间:2023-05-07 格式:DOCX 页数:23 大小:644.90KB
下载 相关 举报
通信原理数字频带传输系统课程设计.docx_第1页
第1页 / 共23页
通信原理数字频带传输系统课程设计.docx_第2页
第2页 / 共23页
通信原理数字频带传输系统课程设计.docx_第3页
第3页 / 共23页
通信原理数字频带传输系统课程设计.docx_第4页
第4页 / 共23页
通信原理数字频带传输系统课程设计.docx_第5页
第5页 / 共23页
通信原理数字频带传输系统课程设计.docx_第6页
第6页 / 共23页
通信原理数字频带传输系统课程设计.docx_第7页
第7页 / 共23页
通信原理数字频带传输系统课程设计.docx_第8页
第8页 / 共23页
通信原理数字频带传输系统课程设计.docx_第9页
第9页 / 共23页
通信原理数字频带传输系统课程设计.docx_第10页
第10页 / 共23页
通信原理数字频带传输系统课程设计.docx_第11页
第11页 / 共23页
通信原理数字频带传输系统课程设计.docx_第12页
第12页 / 共23页
通信原理数字频带传输系统课程设计.docx_第13页
第13页 / 共23页
通信原理数字频带传输系统课程设计.docx_第14页
第14页 / 共23页
通信原理数字频带传输系统课程设计.docx_第15页
第15页 / 共23页
通信原理数字频带传输系统课程设计.docx_第16页
第16页 / 共23页
通信原理数字频带传输系统课程设计.docx_第17页
第17页 / 共23页
通信原理数字频带传输系统课程设计.docx_第18页
第18页 / 共23页
通信原理数字频带传输系统课程设计.docx_第19页
第19页 / 共23页
通信原理数字频带传输系统课程设计.docx_第20页
第20页 / 共23页
亲,该文档总共23页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

通信原理数字频带传输系统课程设计.docx

《通信原理数字频带传输系统课程设计.docx》由会员分享,可在线阅读,更多相关《通信原理数字频带传输系统课程设计.docx(23页珍藏版)》请在冰点文库上搜索。

通信原理数字频带传输系统课程设计.docx

通信原理数字频带传输系统课程设计

数字基带通信系统的设计

1技术要求

设计一个数字基带传输系统,要求:

(1)设计一个数字基带传输系统的结构;

(2)根据通信原理,设计出各个模块的参数(例如码速率,滤波器的截止频率等);

(3)用Matlab或SystemView实现该数字基带通信系统;

(4)观察仿真并进行波形分析;

(5)系统的性能评价。

2基本原理

2.1数字基带传输系统的组成

在数字传输系统中,其传输的对象通常是二进制数字信号,它可能是来自计算机、电传打字机或其它数字设备的各种数字脉冲,也可能是来自数字电话终端的脉冲编码调制(PCM)信号。

这些二进制数字信号的频带范围通常从直流和低频开始,直到某一频率

mf,我们称这种信号为数字基带信号。

在某些有线信道中,特别是在传输距离不太远的情况下,数字基带信号可以不经过调制和解调过程在信道中直接传送,这种不使用调制和解调设备而直接传输基带信号的通信系统,我们称它为基带传输系统。

而在另外一些信道,特别是无线信道和光信道中,数字基带信号则必须经过调制过程,将信号频谱搬移到高频处才能在信道中传输,相应地,在接收端必须经过解调过程,才能恢复数字基带信号。

我们把这种包括了调制和解调过程的传输系统称为数字载波传输系统。

系统基带波形被脉冲变换器变换成适应信道传输的码型后,就送入信道,一方面受到信道特性的影响,使信号产生畸变;另一方面信号被信道中的加性噪声所叠加,造成信号的随即畸变。

因此,在接收端必须有一个接收滤波器,使噪声尽可能受到抑制,为了提高系统的可靠性,在安排一个有限整形器和抽样判决器组成的识别电路,进一步排除噪声干扰和提取有用信号。

对于抽样判决,必须有同步信号提取电路。

在基带传输中,主要采用位同步。

同步信号的提取方式采用自同步方式(直接法)。

同步系统性能的好坏将直接影响通信质量的好坏,甚至会影响通信能否正常进行。

数字基带传输系统主要由信道信号形成器、信道、接收滤波器和抽样判决器组成,其模型如图1所示。

图1数字基带传输系统方框图

信道信号形成器:

基带传输系统的输入是由终端设备或编码器产生的脉冲序列,它不一定适合直接在信道中传输。

信道信号形成器的作用就是把原始基带信号变换成适合于信道传输的基带信号,这种变换主要是通过码型变换和波形变换来实现的,其目的是与信道匹配,便于传输,减小码间串扰,利于同步提取和抽样判决。

信道:

允许基带信号通过的媒质。

信道的传输特性通常不满足无失真传输条件,恒参信道如(明线、同轴电缆、对称电缆、光纤通道、无线电视距中继、卫星中继信道)对信号传输的影响主要是线形畸变;随参信道如(短波电离层反射、对流层散射信道等)对信号传输的影响主要有频率弥散现象(多径传播)、频率的选择性衰落。

信道的线性噪声和加性噪声的影响。

在通信系统的分析中,常常把噪声n(t)等效,集中在信道中引入。

接收滤波器:

主要作用是滤除带外噪声,对信道特性均衡,使输出的基带波形有利于抽样判决。

抽样判决器:

它是在传输特性不理想及噪声背景下,在由位定时脉冲控制的特殊点对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。

自同步法的同步提取电路:

有两部分组成,包括非线型变换处理电路和窄带滤波器或锁相环。

非线型变换处理电路的作用是使接收信号或解调后的数字基带信号经过非线型变换处理电路后含有位同步分量或位同步信息。

窄带滤波器或锁相环的作用是滤除噪声和其他频谱分量,提取纯净的位同步信号。

2.2基带传输的常用码型

为了在传输信道中获得优良的传输特性,一般要将信码信号变化为适合于信道传输特性的传输码,即进行适当的码型变换。

对传输码型的要求如下:

(1)传输信号的频谱中不应有直流分量,低频分量和高频分量也要小;

(2)码型中应包含定时信息,有利于定时信息的提取,尽量减小定时抖动;

(3)功率谱主瓣宽度窄,以节省传输频带;

(4)不受信息源统计特性的影响,即能适应于信息源的变化;

(5)具有内在检错能力,即码型应具有一定规律性,以便于利用这一规律性进行宏观监测;

(6)编译码简单,以降低通信延时和成本。

常用的码型有AMI码、HDB3码、曼彻斯特双相码、差分双相码、密勒码、CMI码等。

2.3无码间串扰的基带传输特性

所谓码间串扰是由于系统传输总特性(包括收、发滤波器和信道的特性)不理想,导致前后码元的波形畸变、展宽,并使前面波形出现很长的拖尾,蔓延到当前码元的抽样时刻上,从而对当前码元的判决造成干扰。

2.3.1无码间串扰的条件

无码间串扰的时域条件为:

h(t)的抽样值除了在t=0时不为零外,在其他所有的抽样点上均为零,就是不存在码间串扰。

表达式如下:

k=0

k为其他整数

(1)

无码间串扰的频域条件为:

(2)

2.3.2余弦滚降特性

升余弦滚降传输特性H(ω)可表示为

(3)

H(ω)是对截止频率ωb的理想低通特性H0(ω)按H1(ω)的滚降特性进行“圆滑”得到的,H1(ω)对于ωb具有奇对称的幅度特性,其上、下截止角频率分别为ωb+ω1、ωb-ω1。

它的选取可根据需要选择,升余弦滚降传输特性H1(ω)采用余弦函数,此时H(ω)为

 

(4)

升余弦滚降函数:

(5)

其中α为滚降系数。

α值越大,h(t)的拖尾衰减越快,对定位精度要求越低。

但是滚降系数使带宽增大,所以频带利用率低。

2.4眼图

眼图是指利用实验的方法估计和改善(通过调整)传输系统性能时在示波器上观察到的一种图形。

观察眼图的方法是:

用一个示波器跨接在接收滤波器的输出端,然后调整示波器扫描周期,使示波器水平扫描周期与接收码元的周期同步,这时示波器屏幕上看到的图形像人的眼睛,故称为“眼图”。

从“眼图”上可以观察出码间串扰和噪声的影响,从而估计系统优劣程度。

另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。

眼图的“眼睛”张开的大小反映着码间串扰的强弱。

“眼睛”张的越大,且眼图越端正,表示码间串扰越小;反之表示码间串扰越大。

当存在噪声时,噪声将叠加在信号上,观察到的眼图的线迹会变得模糊不清。

若同时存在码间串扰,“眼睛”将张开得更小。

与无码间串扰时的眼图相比,原来清晰端正的细线迹,变成了比较模糊的带状线,而且不很端正。

噪声越大,线迹越宽,越模糊;码间串扰越大,眼图越不端正。

眼图对于展示数字信号传输系统的性能提供了很多有用的信息:

可以从中看出码间串扰的大小和噪声的强弱,有助于直观地了解码间串扰和噪声的影响,评价一个基带系统的性能优劣;可以指示接收滤波器的调整,以减小码间串扰。

眼图的一般描述如图2所示。

图2眼图的一般描述

对于该图可获得以下信息:

(1)最佳抽样时刻应在“眼睛”张开最大的时刻。

(2)对定时误差的灵敏度可由眼图斜边的斜率决定。

斜率越大,对定时误差就越灵敏。

(3)在抽样时刻上,眼图上下两分支阴影区的垂直高度,表示最大信号畸变。

(4)眼图中央的横轴位置应对应判决门限电平。

(5)在抽样时刻上,上下两分支离门限最近的一根线迹至门限的距离表示各相应电平的噪声容限,噪声瞬时值超过它就可能发生错误判决。

(6)对于利用信号过零点取平均来得到定时信息的接收系统,眼图倾斜分支与横轴相交的区域的大小,表示零点位置的变动范围,这个变动范围的大小对提取定时信息有重要的影响。

3使用Matlab建立模型描述

3.1Simulink简介

Simulink是Matlab最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。

在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。

Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。

Simulink是用于动态系统和嵌入式系统的多领域仿真和基于模型的设计工具。

对各种时变系统,包括通讯、控制、信号处理、视频处理和图像处理系统,Simulink提供了交互式图形化环境和可定制模块库来对其进行设计、仿真、执行和测试。

Simulink模块库按功能进行分类,包括以下8类子库:

Continuous(连续模块)、Discrete(离散模块)、Function&Tables(函数和平台模块)、Math(数学模块)、Nonlinear(非线性模块)、Signals&Systems(信号和系统模块)、Sinks(接收器模块)、Sources(输入源模块)。

启动Simulink只需在Matlab窗口中输入指令Simulink即可打开。

3.2设计思路

根据数字基带传输系统方框图,在设计时整个系统可分为信源模块、收发滤波器和信道模块、抽样判决输出模块、误码率计算模块这四个模块,下面介绍每个模块的设计思路。

3.2.1信源模块

常见的基带信号波形有:

单极性波形、双极性波形、单极性归零波形和双极性归零波形。

双极性波形可用正负电平的脉冲分别表示二进制码“0”和“1”,故当“1”和“0”等概率出现时无直流分量,有利于在信道中传输,且在接收端恢复信号的判决电平为0,抗干扰能力较强。

故单极性波形的极性单一,虽然易于用TTL,CMOS电路产生,但直流分量大,要求传输线路具有直流传输能力,不利于信道传输。

归零信号的占空比小于1,即:

电脉冲宽度小于码元宽度,每个有电脉冲在小于码元长度内总要回到零电平,这样的波形有利于同步脉冲的提取。

基于以上考虑,本次课程设计我采用的码型为曼彻斯特双相码,其编码规则为:

将二进制码“1”编成“10”,将二进制码“0”编成“01”。

在这里采用了二进制双极性码,则将“1”编成“+1-1”码,将“0”编成“-1+1”码。

采用Simulink中的BernoulliBinaryGenerator(不归零二进制码生成器)、UnipolartoBipolarConverter(单极性向双极性转换器)、PulseGenerator(脉冲生成器)、Constant(常数源模块)、Add(加法器)、Product(乘法器)、Scope(示波器)构成曼彻斯特码生成电路。

模块连接图如图3所示。

图3信源模块连接图

BernoulliBinaryGenerator用于产生“1”和“0”的随机信号,经过UnipolartoBipolarConverter变为双极性信号;PulseGenerator用于产生占空比为1/2的单极性归零脉冲(2020),经过Add加法器减一后成为双极性脉冲(+1-1+1-1)。

两路双极性信号作为乘法器的输入,相乘后结果为:

第1路不归零码的1码与第2路(+1-1)相乘得到(+1-1),第1路-1码与第2路(+1-1)相乘得到(-1+1)码,形成了曼彻斯特码。

该模块参数设置:

原信号频率设置为1000Hz,抽样脉冲信号频率为2000Hz。

因为由前面的原理可知在原信号的一个码元宽度对应抽样的两个码元宽度。

具体参数设计如图4所示。

BernoulliBinaryGenerator设置(左),PulseGenerator设置(右)。

图4参数设置1

3.2.2收发滤波器和信道模块

本模块由发送滤波器、传输信道、接受滤波器组成。

1)发送、接受滤波器的设计

基带系统设计的核心问题是滤波器的选取,为了使系统冲激响应h(t)拖尾收敛速度加快,减小抽样时刻偏差造成的码间干扰问题,要求发送滤波器应具有升余弦滚降特性;要得到最大输出信噪比,就要使接受滤波器特性与其输入信号的频谱满足共轭匹配式如下:

(6)

同时系统函数满足

考虑在t0时刻取样,上述方程改写为:

(7)

于是有:

(8)

因此,在构造系统时收发滤波器均采用平方根升余弦滤波器。

2)信道的设计

信道是允许基带信号通过的媒介,通常为有线信道。

信道的传输特性通常不满足无失真传输条件,且含有加性噪声。

因此本次系统设计采用高斯白噪声信道。

为了减小码间干扰,在最大输出信噪比时刻输出信号,减小噪声干扰,传输模块由Upsample(内插函数)、DiscreteFilter(根升余弦发送滤波器)、AWGNChannel(高斯信道)、DiscreteFilter(根升余弦接收滤波器)组成。

信号通过Upsample升采样在相同的采样时间内将频率变为原来的10倍,再依次通过发送滤波器、信道、接受滤波器传输信号。

整个模块的连接图如图5所示。

图5收发滤波器和信道模块连接图

该模块参数设置:

根升余弦滚降收、发滤波器的参数为rcosine(2,10,'fir/sqrt',0.5,10);参数的含义为rcosine(Fd,Fs,type_flag,r,delay),其中Fd/2为截止频率,fir/sqrt为均方根FIR滤波器,delay为延时时间。

信道采用高斯信道,噪声大小为50dB,此数值为最佳噪声大小。

具体参数设置如图6所示。

左为滤波器参数,右为信道参数。

图6参数设置2

3.2.3抽样判决模块

由于采用的为双极性码,所以抽样电平为“0”,抽样判决规则为:

大于“0”判“1”,小于“0”判“-1”。

利用PulseGenerator(脉冲生成器)、Product(乘法器)、Relay(滞环比较器)、TriggeredSubsystem(触发子系统)、Downsample(内插函数)构成抽样判决电路,并通过PulseGenerator(脉冲生成器)、Constant(常数)、Add(加法器)、Product(乘法器)对接收到的曼彻斯特码进行解码。

整个抽样判决模块电路图如图7所示。

图7抽样判决模块电路图

如图可知本模块的设计思路:

将接收到的信号与脉冲信号相乘,相当于进行了采样,之后通过Relay比较器进行判决,大于“0”判“1”,小于“0”判“-1”;之后通过TriggeredSubsystem(触发子系统)进行时机采集,每段时间内只采集一次,最后通过内插函数恢复到原来的频率上。

此时得到的为曼彻斯特码,要得到原来的双极性码必须经过解码电路,即图中所示:

按照曼彻斯特码的编写过程对其进行反变换,应为+1与-1本身极性相反所以逆变换的过程就是其编码的过程。

该模块参数设置:

脉冲信号频率为20000Hz,因为采样点频率需要远大于信号频率;Delay判决门限电平为“0”,大于“0”判“+1”,小于“0”判“-1”。

参数设置在此不再截图。

3.2.4误码率计算模块

为了计算整个系统的性能,在最后加了一个误码率计算的模块,因为测试下来最后的解码相对于原码有一定的延迟,所以对原码加上一个延迟函数再对于解码做误码率的计算。

模块电路图如图8所示。

图8误码率计算模块

3.2.5整体设计电路图

综合了以上的四个模块,并在相应的地方添加示波器以便于波形的观察,在接受滤波器后添加眼图来观察系统是否存在码间串扰和噪声,用以判别系统的整体性能。

系统整体设计电路图如图9所示。

图9系统整体设计电路图

4使用SystemView建立模型描述

4.1SystemView简介

SystemView是一个用于现代工程与科学系统设计及仿真的动态系统分析平台。

从滤波器设计、信号处理、完整通信系统的设计与仿真,直到一般的系统数学模型建立等各个领域,SystemView在友好而且功能齐全的窗口环境下,为用户提供了一个精密的嵌入式分析工具。

利用SystemView,可以构造各种复杂的模拟、数字、数模混合系统和各种多速率系统,因此,它可用于各种线性或非线性控制系统的设计和仿真。

用户在进行系统设计时,只需从SystemView配置的图标库中调出有关图标并进行参数设置,完成图标间的连线,然后运行仿真操作,最终以时域波形、眼图、功率谱等形式给出系统的仿真分析结果。

4.2设计思路

SystemView整个系统框图较为简单,信号直接通过与随机噪声相加的信道,再通过巴特沃斯滤波器,再经过抽样判决输出。

整个系统框图如图10所示。

图10SystemView整个系统框图

参数设置如下:

Token0:

Source――Noise/PN――Pn Seg(幅度1V,频率10HZ,电平数2,偏移0V,产生单极性不归零码,随机产生) 

Token1:

在专业库中选择Comm——Processors——P shape(Select pulse Shape=

Rectangular,Time offset=0,Width=0.01s,产生矩形脉冲基带信号) 

Token3:

Source――Noise/PN――Gauss Noise(均值为0,均方差为0.1的高斯白噪声) 

Token4:

Operator――Filters/systems――Liner Sys Filters(Analog,Butterworth,阶数5,截止频率10Hz)

Token5:

Operator――Sample/Hold――Sample(Sample rate=10HZ,用于对滤波后的波

形进行抽样,抽样速率等于码元速率) 

Token6:

Operator――Sample/Hold――Hold(Hold Value=Last Sample,Gain=1,对抽

样后的值延时一段时间,得到恢复后的数字基带信号) 

Token7:

Operator——Logic——Compare(Select comparison:

a>=b True Output=1V,

False Output=-1V,对抽样值进行判决比较,得到输出码元波形) 

Token8:

产生正弦信号,作为比较器的另一个比较输入(振幅=0V,频率=10Hz)

这里采用的滤波器为巴特沃斯数字滤波器,其特性也具有尾部收敛速度较快的特点,只要设置相应的阶数和频率,就可以消除信道中的噪声和码间串扰,但依旧会有延时产生,但延时较小,可以忽略。

在SystemView中依旧可以采用眼图来观察系统的性能设计是否满足传输条件。

整个系统的设计思想跟Simulink基本一致,只是在SystemView中运用的较为直白,这里不再叙述。

5模块功能分析

5.1用Simulink设计系统

模块的分类以及功能设计已在第3部分中加以说明,下面结合每部分的波形来对相应模块进行分析。

1)信源模块:

调试点波形如图11所示。

图11信源模块调试点波形

由波形可知该模块可产生曼彻斯特双相码。

2)收发滤波器和信道模块:

本模块包含了两个滤波器和一个信道,为了展现个部分功能,共引入了4路信号波形,用来观察信号从发送到接收的整个状态,包括延时、波形转换,同时可以观察到滤波器和信道的性能是否满足设计要求。

这四个信号波形分别为发送滤波器前的发送信号1、经过发送滤波器的信号2、经过信道的信号3、经过接收滤波器的信号4。

调试点波形如图12所示。

图12收发滤波器和信道模块调试点波形

通过各点波形可以看出发送接收滤波器相比较前一个波形均有延时,经过高斯信道后波形明显增加了噪声,有一些杂波,在经过接受滤波器后被消除。

该模块大大减弱了信号传输过程中所会遇到的码间串扰和噪声问题的影响。

这一性能可通过眼图观察出来。

3)抽样判决模块:

将信道接收到的信号通过抽样判决输出,各点波形如图13所示。

图13抽样判决模块调试点波形

通过各点的波形可以看出在接收到的信号经过判决门限判决后需要经过不止一次的分时分频,为了结果的更精确,需进行多次采集,最后可判决出正确的波形。

5.2用SystemView设计系统

采用此种方法的中间点波形如图14所示。

图14SystemView各点调试波形图

通过各个调试点的波形可以看出其对应的功能,因为前面基本介绍,这里不再述说。

通过波形可以发现,信号在通过巴特沃斯滤波器后产生了一些延时,这可能是由滤波器本身的特性而导致的。

而通过采样后的波形可以看出明显的门限电平为“0”,可以判别出信号的原始码型。

6调试过程及结论

6.1Simulink调试

6.1.1Simulink调试结果

系统最终解码与原码波形如图15所示。

图15最终调试波形1

在原码后添加一个10ms的延时函数器件,输出波形如图16所示。

图16最终调试波形2

用眼图来观察信道传输后的性能,在接收滤波器后添加眼图,视图如图17所示。

图17眼图示意图

最后输出信号的频谱图如图18所示。

图18输出信号频谱图

误码率的计算值如图19所示,此时高斯噪声的大小约为50dB。

图19误码率计算

6.1.2Simulink调试结论

通过波形比较、眼图以及信号频谱图可以得出以下结论:

1)系统解码相对原码延时了10ms的时长,延时主要受两个升余弦滤波器的影响;

2)在信道传输信号后,眼图的眼睛张开较大,没有过零点失真,噪声也基本没有,说明信道模块设计性能基本满足要求;

3)系统的误码率为0.004498,在2001个码元中有9个错码,误码率很小但不为零,说明在解码的过程中受到了系统噪声的干扰,由于误码率较小,基本可认为达到了设计要求。

6.2SystemView调试

6.2.1SystemView调试结果

系统最后输出的解码与原码波形如图20所示。

图20最终调试波形

在滤波器后观察眼图,视图如图21所示。

图21眼图示意图

输出信号频谱图如图22所示。

图22输出信号频谱图

6.2.2SystemView调试结论

通过波形和眼图,可以得出以下结论:

1)系统解码相对原码有延时,但时长很短,为10e-3级别,延时主要受巴特沃斯滤波器的影响;

2)通过对眼图的观察,可以发现眼图张开较大,但有少部分杂乱的线,说明存在噪声,但通过波形来看,几乎没有失真。

3)整个系统性能调节达到设计要求。

6.3两种方案性能对比

通过调试观察波形、眼图以及频谱图,对比两个方案的总体系统性能,可以发现,在Simulink中设计的系统性能较为良好,我认为原因在于滤波器的设计,在Simulink中采用的是升余弦滤波器,更有助于实现无码间串扰传输,巴特沃斯滤波器虽然尾部收敛也比较快,但是对于数字基带传输的性能不如升余弦滤波器。

8参考文献

[1] 樊昌信,曹丽娜.《通信原理(第6版)》.北京:

国防工业出版社,2008.

[2]陈星,刘斌.SystemView通信原理实验指导.北京航空航天大学电子工程系内部讲义,1997.

 

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2