半导体制造专业英语术语.docx

上传人:b****3 文档编号:5151727 上传时间:2023-05-08 格式:DOCX 页数:56 大小:45.86KB
下载 相关 举报
半导体制造专业英语术语.docx_第1页
第1页 / 共56页
半导体制造专业英语术语.docx_第2页
第2页 / 共56页
半导体制造专业英语术语.docx_第3页
第3页 / 共56页
半导体制造专业英语术语.docx_第4页
第4页 / 共56页
半导体制造专业英语术语.docx_第5页
第5页 / 共56页
半导体制造专业英语术语.docx_第6页
第6页 / 共56页
半导体制造专业英语术语.docx_第7页
第7页 / 共56页
半导体制造专业英语术语.docx_第8页
第8页 / 共56页
半导体制造专业英语术语.docx_第9页
第9页 / 共56页
半导体制造专业英语术语.docx_第10页
第10页 / 共56页
半导体制造专业英语术语.docx_第11页
第11页 / 共56页
半导体制造专业英语术语.docx_第12页
第12页 / 共56页
半导体制造专业英语术语.docx_第13页
第13页 / 共56页
半导体制造专业英语术语.docx_第14页
第14页 / 共56页
半导体制造专业英语术语.docx_第15页
第15页 / 共56页
半导体制造专业英语术语.docx_第16页
第16页 / 共56页
半导体制造专业英语术语.docx_第17页
第17页 / 共56页
半导体制造专业英语术语.docx_第18页
第18页 / 共56页
半导体制造专业英语术语.docx_第19页
第19页 / 共56页
半导体制造专业英语术语.docx_第20页
第20页 / 共56页
亲,该文档总共56页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

半导体制造专业英语术语.docx

《半导体制造专业英语术语.docx》由会员分享,可在线阅读,更多相关《半导体制造专业英语术语.docx(56页珍藏版)》请在冰点文库上搜索。

半导体制造专业英语术语.docx

半导体制造专业英语术语

A

1stlevelpackaging第一级封装

2ndlevelpackaging第二级封装

aberration象差/色差

absorption吸收

accelerationcolumn加速管

acceptor受主

Accumulatev.积聚,堆积

acid酸

acousticstreaming声学流

activeregion有源区

activate激活

activateddopant激活杂质

activecomponent有源器件

adsorption吸附

aerosol悬浮颗粒

airionizer空气电离化器

alignmentmark对准标记

alignment对准

alloy合金

alternateadj.交替的,轮流的,预备的v.交替,轮流,改变

aluminum铝

aluminumsubtractiveprocess铝刻蚀工艺

ambient环境

ammonia(NH3)氨气

ammoniumfluoride(NH4F)氟化氨

ammoniumhydroxide(NH4OH)氢氧化氨

amorphous非晶的,无定型

analog模拟信号

angstrom埃

anion阴离子

anisotropicetchprofile各向异性刻蚀剖面

anneal退火

antimony(sb)锑

antirelectivecoating(ARC)抗反射涂层

APCVD常压化学气向淀积

applicationspecificIC(ASIC)专用集成电路

aqueoussolution水溶液

areaarray面阵列

argon(Ar)n.[化]氩

arsenic(As)砷

arsine(AsH3)砷化氢,砷烷

ashing灰化,去胶

aspectratio深宽比,高宽比

aspectratiodependentetching(ARDE)与刻蚀相关的深宽比

asphyxiant窒息剂

assaynumber检定数

atmosphericadj.大气的

atmosphericpressure大气压

atmosphericpressureCVD(APCVD)常压化学气向淀积

atomicforcemicroscopy(AFM)原子力显微镜

atomicnumber原子序数

attemptn.努力,尝试,企图vt.尝试,企图

augerelectronspectroscopy(AES)俄歇电子能谱仪

autodoping自掺杂

automaticdefectclassification(ADC)缺陷自动分类

B

back-endofline(BEOL)(生产线)后端工序

backgrind减薄

backingfilm背膜

bafflevt.困惑,阻碍,为难(挡片)

baffleassemblyn.集合,装配,集会,集结,汇编(挡片块)

ballgridarray(BGA)球栅阵列

ballroomlayout舞厅式布局,超净间的布局

barrelreactor圆桶型反应室

barriermetal阻挡层金属

barriervoltage势垒电压

base基极,基区

batch批

bayandchaselayout生产区和技术夹层区

beamblow-up离子束膨胀

beamcurrent束流

beamdeceleration束流减速

beamenergy离子束能量

beol(生产线)后端工序

bestfocus最佳聚焦

BGA球栅阵列

Biasing电压拉偏

BICMOS双极CMOS

bincodenumber分类代码号

binmap分类图

bipolarjunctiontransistor(BJT)双极晶体管

bipolartechnology双极技术(工艺)

bird’sbeakeffect鸟嘴效应

blanketdeposition均厚淀积

blower增压泵

boat舟

BOE氧化层刻蚀缓冲剂Bonvoyage[法]再见,一路顺风[平安]

bondingpads压点

bondingwire焊线,引线

boron(B)硼

borontrichloride(BCL3)三氯化硼

borontrifluoride(BF3)三氟化硼

borophosphosilicateglass(BPSG)硼磷硅玻璃

borosilicateglass(BSG)硼硅玻璃

bottomantireflectivecoating(BARC)下减反射涂层

boule单晶锭

bracketn.墙上凸出的托架,括弧,支架v.括在一起

breakthroughstep突破步骤,起始的干法刻蚀步骤

brightfielddetection亮场检查

brushscrubbing涮洗

bubbler带鼓泡槽

bufferedoxideetch(BOE)氧化层腐蚀缓冲液

bulkchemicaldistribution批量化学材料配送

bulkgases大批气体

bulkheadequipmentlayout穿壁式设备布局

bumpedchip凸点式芯片

buriedlayer埋层

burn-box燃烧室(或盒)

burn-in老化

C

CA化学放大(胶)

cantilevern.[建]悬臂

cantileverpaddle悬臂桨

capoxide掩蔽氧化层

capacitance电容

capacitance-voltagetest(C-Vtest)电容-电压测试

capacitivecoupledplasma电容偶合等离子体

capacitor电容器

carbontetrafluoride(CF4)四氟化碳

caro’sacid3号液

carrier载流子

carrier-depletionregion载流子耗尽层

carriergas携带气体

cassette(承)片架

cation阳离子

caustic腐蚀性的

cavitation超声波能

CD关键尺寸

CD-SEM线宽扫描电镜

Celsiusadj.摄氏的

centeroffocus(COF)焦点焦平面

centerslow中心慢速

centralprocessingunit(CPU)中央处理器

ceramicsubstrate陶瓷封装

CERDIP陶瓷双列直插封装

Channel沟道

channellength沟道长度

channeling沟道效应

chargecarrier载流子

chase技术夹层

chelatingagent螯合剂

chemicalamplification(CA)化学放大胶

chemicaletchmechanism化学刻蚀机理

chemicalmechanicalplanarization(CMP)化学机械平坦化

chemicalsolution化学溶液

chemicalvapordeposition(CVD)化学气相淀积

chip芯片

chiponboard(COB)板上芯片

chipscalepackage(CSP)芯片尺寸封装

circuitgeometries电路几何尺寸

classnumber净化级别

cleanroom净化间

cleanroomprotocol净化间操作规程

Clearfieldmask亮场掩膜板

Clustertool多腔集成设备

CMOS互补金属氧化物半导体

CMP化学机械平坦化

Coater/developertrack涂胶/显影轨道

Cobaltsilicide钴硅化合物

coefficientn.[数]系数

Coefficientofthermalexpansion(CTE)热涨系数

Coherenceprobemicroscope相干探测显微镜

Coherentlight相干光

coilv.盘绕,卷

Coldwall冷壁

Collector集电极

Collimatedlight平行光

Collimatedsputtering准直溅射

Compensatev.偿还,补偿,付报酬

Compoundsemiconductor化合物半导体

Concentration浓度

Condensation浓缩

Conductor导体

constantlyadv.不变地,经常地,坚持不懈地

Confocalmicroscope共聚焦显微镜

Conformalstepcoverage共型台阶覆盖

Contact接触(孔)

Contactalignment接触式对准(光刻)

Contactanglemeter接触角度仪

Contamination沾污、污染

contiboat连柱舟

conticaster[冶]连铸机

Continuousspraydevelop连续喷雾显影

Contourmaps包络图、等位图、等值图

Contrast对比度、反差

contributionn.捐献,贡献,投稿

Conventional-linephotoresist常规I线光刻胶

Cook’stheory库克理论

CopperCVD铜CVD

Copperinterconnect铜互连

Costofownership(COO)业主总成本

Covalentbond共价键

Criticaldimension关键尺寸

Cryogenicaerosolcleaning冷凝浮质清洗

Cryogenicpump(cryopump)冷凝泵

Crystal晶体

Crystalactivation晶体激活

Crystaldefect晶体缺陷

Crystalgrowth晶体生长

Crystallattice晶格

Crystalorientation晶向

CTE热涨系数

Current-drivencurrentamplifier电流驱动电流放大器

CVD化学气相淀积

Cycletime周期

CZcrystalpullerCZ拉单晶设备

Czochralski(CZ)method切克劳斯基法

D

damascene大马士革工艺

darkfileddetection暗场检测

darkfiledmask暗场掩膜版

DCbias直流偏压

decomposev.分解,(使)腐烂

deepUV(DUV)深紫外光

defaultn.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认

defectsdensity缺陷密度

defect缺陷

deglaze漂氧化层

degreeofplanarity(DP)平整度

dehydrationbake去湿烘培,脱水烘培

density密度

deplentionmode耗尽型

degreeoffocus焦深

depositn.堆积物,沉淀物,存款,押金,保证金,存放物vt.存放,堆积vi.沉淀

deposition淀积

depositedoxidelayer淀积氧化层

depthoffocus焦深

descum扫底膜

designfortest(DFT)可测试设计

desorption解吸附作用

developinspect显影检查

development显影

developer显影液

deviationn.背离

deviceisolation器件隔离

devicetechnology器件工艺

DIwater去离子水

Diametern.直径

diametergrinding磨边

diborane(B2H6)乙硼烷

dichlorosilane(H2SiCL2)二氯甲硅烷

die芯片

diearray芯片阵列

dieattach粘片

die-by-diealignment逐个芯片对准

dielectric介质

dielectricconstant介电常数

diematrix芯片阵列

dieseparation分片

diffraction衍射

diffraction-limitedoptics限制衍射镜片

diffusion扩散

diffusioncontrolled受控扩散

digital/analog数字/模拟

digitalcircuit

diluent

directchipattach(DCA)

directionality

discrete

dishing

dislocation

dissolutionrate

dissolutionratemonitor(DRM)溶解率监测

DNQ-novolak重氮柰醌-酚醛树脂

Donor施主

dopantprofile掺杂刨面)

dopedregion掺杂区

doping掺杂

dosemonitor剂量检测仪

dose,Q剂量

downstreamreactor顺流法反应

drain漏

drive-in推进

dryetch干法刻蚀

drymechanicalpump干式机械泵

dryoxidation干法氧化

dummyn.哑巴,傀儡,假人,假货adj.虚拟的,假的,虚构的n.[计]哑元

dynamicadj.动力的,动力学的,动态的

E

economiesofscale规模经济

edgebeadremoval边缘去胶

edgedie边缘芯片

edgeexclusion无效边缘区域

electricallyerasablePROM电可擦除EPROM

electrode电极

electromigration电迁徙

electronbeamlithography电子束光刻

electroncyclotronresonance电子共振回旋加速器

electronshower电子簇射,电子喷淋

electronstopping电子阻止

electronicwafermap硅片上电性能分布图

electroplating电镀

electropolishing电解抛光

electrostaticchuck静电吸盘

electrostaticdischarge(ESD)静电放电

ellipsometry椭圆偏振仪,椭偏仪

emitter发射极

endpointdetection终点检测

engineeringn.工程(学)

electrostaticdischarge(EDX)能量弥散谱仪

enhancementmode增强型

epi外延

epitaxiallayer外延层

epoxyunderfill环氧树脂填充不足

erasablePROM可擦除可编程只读存储器

erosion腐蚀,浸蚀

establishvt.建立,设立,安置,使定居,使人民接受,确定v.建立

etch刻蚀

etchbias刻蚀涨缩量

etchprofile刻蚀刨面

etchrate刻蚀速率

etchresidue刻蚀残渣

etchuniformity刻蚀均匀性

etchant刻蚀剂

etchbackplanarization返刻平坦化

eutecticattach共晶焊接

eutectictemperature共晶温度

evaporation蒸发

evenadj.平的,平滑的,偶数的,一致的,平静的,恰好的,平均的,连贯的adv.[加强语气]甚至(...也),连...都,即使,恰好,正当vt.使平坦,使相等vi.变平,相等n.偶数,偶校验

exceedvt.超越,胜过vi.超过其他

excimerlaser准分之激光

exposaln.曝光,显露

exposure曝光

exposuredose曝光量

extractionelectrode吸极

extremeUV极紫外线

extrinsicsilicon掺杂硅

F

Fables无制造厂公司

fabrication制造

facilities设施

factorn.因素,要素,因数,代理人

fastrampfurnaces快速升降温炉

faultmodel失效模式

FCCdiamond面心立方金刚石

featuresize特征尺寸

FEOL前工序

Fick’slawsFICK定律

field-effecttransistor场效应晶体管

fieldoxide场氧化

field-by-fieldalignment逐场对准

field-programmablePROM现场可编程只读存储器

film膜

filmstress膜应力

finalassemblyandpackaging最终装配和封装

finaltest终测

firstinterlayerdielectric(ILD-1)第一层层间介质

fixedoxidecharge固定氧化物电荷

flats定位边

flipchip倒装芯片

floatzone区熔法

fluorosilicateglass(FSG)氟化玻璃

focallength焦距

focalplane焦平面

focalpoint焦点

focus聚焦

focusionbeam(FIB)聚焦离子束

footprint占地面积

formulan.公式,规则,客套语

forwardbias正偏压

four-pointprobe四探针

frenkeldefectFrenkel缺陷

front-openingunifiedpod(FOUP)前开口盒

functionaltest功能测试

furnaceflatzone恒温区

G

g-lineG线

gallium(Ga)镓

galliumarsenide(GaAs)砷化镓

gapfill间隙填充

gas气体

gascabinet气柜

gasmanifold气瓶集装

gasphasenucleation气相成核

gaspurge气体冲洗

gasthroughput气体产量

gate栅

gateoxide栅氧化硅

gateoxideintegrity栅氧完整性

germanium(Ge)锗

getter俘获

glass玻璃

glazing光滑表面

globalalignment全局对准

globalplanarization全局平坦化

glowdischarge起辉放电

grayarea灰区,技术夹层

grossdefect层错

groven.小树林

grownoxidelayer热氧化生长氧化层

H

Halogen卤素

hardbake坚膜

hardwaren.五金器具,(电脑的)硬件,(电子仪器的)部件

HEPAfilter高效过滤器

hermeticsealing密封

heteroepitaxy异质外延

heterogeneousreaction异质反应

hexamethyldisilazane(HMDS)六甲基二硅氨烷

high-densityplasma(HDPCVD)高密度等离子体化学气相淀积

high-densityplasmaetch高密度等离子刻蚀

high-pressureoxidation高压氧化

high-temperaturediffusionfurnace高温扩散炉

highvacuum高真空

highvacuumpumps高真空泵

hillock小丘(铝)尖刺

homoepitaxy同质外延

homogeneousreaction同质反应

horizontaladj.地平线的,水平的

horizontalf

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 农林牧渔 > 林学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2