EDA课程设计报告自动收饮料机Word文档下载推荐.docx

上传人:b****3 文档编号:6457904 上传时间:2023-05-06 格式:DOCX 页数:12 大小:456.75KB
下载 相关 举报
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第1页
第1页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第2页
第2页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第3页
第3页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第4页
第4页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第5页
第5页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第6页
第6页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第7页
第7页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第8页
第8页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第9页
第9页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第10页
第10页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第11页
第11页 / 共12页
EDA课程设计报告自动收饮料机Word文档下载推荐.docx_第12页
第12页 / 共12页
亲,该文档总共12页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA课程设计报告自动收饮料机Word文档下载推荐.docx

《EDA课程设计报告自动收饮料机Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《EDA课程设计报告自动收饮料机Word文档下载推荐.docx(12页珍藏版)》请在冰点文库上搜索。

EDA课程设计报告自动收饮料机Word文档下载推荐.docx

一、课程设计目的:

1)熟悉学习QuartusII的编程与调试方式,学会在QuartusII中使用波形图进行软件仿真,并使用EDA6000进行硬件仿真与调试。

2)设计一个自动售货机,具有投币和选择商品等功能

二、课程设计题目描述和要求:

首先应搭建识别模块,将代表每种硬币的拨码开关信号和选择的商品信号转变为数码管显示信号。

两者要进行比较,如果钱数大于售出商品则对其进行求差,求差的结果作为找钱信号;

如果等于直接售出商品;

小于则报警。

程序中会有一个分频模块,对CLK进行分频,并有一个复位键。

三、课程设计报告内容

3.1、设计原理、方案论证

1)设计一个自动售货机,此机能出售1元、2.5元、3元、3.5元的四种商品。

出售哪种商品可有顾客按动相应的按键即可,并同时用数码管显示售出的是第几种商品;

2)顾客可通过选择投入的钱数来购买商品,并且有一个确认键;

3)如果选择投入的钱小于选择的商品价格,则显示出价格和选择的钱数,并且警告灯亮;

4)如果选择投入的钱大于选择的商品价格,则显示出价格和找回的钱;

5)此售货机有一个整体复位控制。

3.2、设计过程

3.2.1、系统框图:

3.2.2、设计总程序:

3.2.3、软件仿真

当选择钱数为100即为2元、选择商品为10即为3元时,led_warn亮,led_price和led_money、led_good分别显示应该显示的数据

3.2.4管脚定义:

3.2.5下载程序与仿真结果:

商品选择第二个,投入钱币是5,结果显示中25为价格05为投入的钱,2是选择的商品,led_warn亮;

商品选择第一个,投币35,结果中10为商品价格,25为找零,1为选择的商品

四、设计总结和心得体会

通过本次课程设计,我对VerilogHDL语言有了更深刻的了解,进一步熟悉了altera环境下FPGA开发流程,熟练掌握了编程、仿真和下载及硬件仿真的过程。

巩固了在课堂上学习的知识并将之付诸实践。

在实验中,我也遇到了很多挫折,不过我都和同组伙伴一一克服了,大家齐心协力解决了问题,使我明白了团队合作的重要性,受益匪浅。

参考文献:

[1]潘松,《EDA实用教程》,科学出版社,2004年

[2]夏宇闻编著《Verilog数字系统教程》北京航空航天出版社

[3]梁瑞宇编写《FPGA设计实验指导书(VerilogHDL)》

附录(程序)

Moduleautoseller(clk,ok,g,rst,m,led_goods,led_money_a,led_money_b,led_price_a,led_price_b,led_warn);

inputclk,ok,rst;

//脉冲,确定键,清零键

input[1:

0]g;

//货品选择键

input[2:

0]m;

output[6:

0]led_money_b,led_price_b,led_goods;

output[7:

0]led_money_a,led_price_a;

outputled_warn;

regf_500;

//分频时钟

reg[6:

0]goods;

regled_warn;

integera_tmp,price,money,money_a,money_b,price_a,price_b;

0]led_money_b,led_price_b,led_goods;

reg[7:

always@(posedgeclk)

begin

if(a_tmp==499)

f_500=~f_500;

a_tmp<

=0;

end

else

=a_tmp+1;

always@(posedgef_500)

if(rst==1)

money=0;

price=0;

led_warn=0;

case(m)

3'

b000:

beginmoney=00;

end

b001:

beginmoney=05;

b010:

beginmoney=10;

b011:

beginmoney=15;

b100:

beginmoney=20;

b101:

beginmoney=25;

b110:

beginmoney=30;

b111:

beginmoney=35;

endcase

case(g)

2'

b00:

beginprice=10;

b01:

beginprice=25;

b10:

beginprice=30;

b11:

beginprice=35;

if(ok==1)

if(money<

price)

led_warn=1;

else

led_warn=led_warn+0;

money=money-price;

case(price)

10:

beginled_goods=7'

b0000110;

25:

b1011011;

30:

b1001111;

35:

b1100110;

money=money+0;

price=price+0;

money_a=money/10;

money_b=money-money_a*10;

price_a=price/10;

price_b=price-price_a*10;

case(money_a)

0:

beginled_money_a=8'

b10111111;

1:

b10000110;

2:

b11011011;

3:

b11001111;

4:

b11100110;

case(money_b)

beginled_money_b=7'

b0111111;

5:

b1101101;

case(price_a)

beginled_price_a=8'

case(price_b)

beginled_price_b=7'

endmodule

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 党团工作 > 入党转正申请

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2