EDA 数字秒表设计Word文档下载推荐.docx

上传人:b****4 文档编号:6495391 上传时间:2023-05-06 格式:DOCX 页数:20 大小:327.18KB
下载 相关 举报
EDA 数字秒表设计Word文档下载推荐.docx_第1页
第1页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第2页
第2页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第3页
第3页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第4页
第4页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第5页
第5页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第6页
第6页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第7页
第7页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第8页
第8页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第9页
第9页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第10页
第10页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第11页
第11页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第12页
第12页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第13页
第13页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第14页
第14页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第15页
第15页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第16页
第16页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第17页
第17页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第18页
第18页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第19页
第19页 / 共20页
EDA 数字秒表设计Word文档下载推荐.docx_第20页
第20页 / 共20页
亲,该文档总共20页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA 数字秒表设计Word文档下载推荐.docx

《EDA 数字秒表设计Word文档下载推荐.docx》由会员分享,可在线阅读,更多相关《EDA 数字秒表设计Word文档下载推荐.docx(20页珍藏版)》请在冰点文库上搜索。

EDA 数字秒表设计Word文档下载推荐.docx

begin

ifclk'

eventandclk-1'

then

if(count="

101"

)then

countv="

000"

;

else

count<

=count+1;

endif;

endprocess;

selout<

=count;

endone;

(4)仿真结果

说明:

来一个上升沿,SELOUT勺值增1,可以证明模块是正确的。

2、模块名:

选择模块设计

iCHOICE

端口定义:

a,b,c为控制信号;

data1[3..0],data2[3..0],data3[3..0],data4[3..0],data5[3..0],

data6[3..0]分别是毫秒的低位,毫秒的高位,秒的低位,秒

的高位,分的低位,分的高位的数据值;

ch_out[3..0]为选择输出端。

VHDL源程序

usechoiceis

port

(a,b,c:

instd_logic;

data1,data2,data3,data4,data5,data6:

instd_logic_vector(3downto0);

ch_out:

outstd_logic_vector(3downto0));

endchoice;

architecturebehaveofchoiceis

signalch:

std_logic_vector(2downto0);

ch

(2)<

=c;

ch

(1)<

=b;

ch(0)<

=a;

process(ch)

casechis

when"

=>

ch_out<

=data1;

001"

=data2;

010"

=data3;

011"

=data4;

when"

100"

ch_outv=data5;

ch_outv=data6;

whenothers=>

null;

endcase;

endbehave;

(4)仿真结果

1►*

■AQH

4*1

A4M

L

ftVhl

1庖0X

Mp

[ar

1■

a亠匸

1mD

■IB

KiXD

Imi

I

0.1TbB

™X

331:

1m

上BlT

□IDA[U1

PSir

—nr\

rb*

'

"

fl

1时

1

EiJ

rr.1i

f販曲I

荀B1

It

B3百|

1DHC

ni

0ElK

pone

onL

ts

[[1fc¥

>

-b^

Pvia

:

.

0Q1

ra

9ta'

vL

kHLt

UVL1

s

fl知

1■1

MW

B3山

rhOi

!

-

mi

abc的值递增,ch_out选择输出data1,data2,data3,data4,data5,data6的值,证

说明:

明模块是正确的

3、模块名:

3-8译码模块设计

decodeBB

I—

E

SEL[2.0]op-0]—!

Iin^tS

f

E■-T—■■I■--r—

(1)

模块功能:

通过SEL给的信号来控制8位数码管位的亮灭。

端口定义:

输入端SEL[2..0值大小来选择输出Q的值

输出端Q[7..0]来控制灯哪位亮

LIBRARYieee;

usedecode3_8IS

PORT(SEL:

INstd_logic_vector(2downto0);

Q:

OUTstd_logic_vector(7downto0));

ENDdecode3_8;

ARCHITECTUREaOFdecode3_8IS

BEGIN

Q<

="

whensel=0else

whensel=1else"

whensel=2else"

whensel=3else"

whensel=4else"

whensel=5else

Illi.

ENDa;

C0

LO

■DIOto

btidJlE

旦I貝

ILkiiLI.1

Sel的值递增,Q的相应位会亮,证明模块是正确的。

国IfcL1h-*2dFuhR

nq乂

J:

iIIIET

4.1

模块名:

毫秒计

时模块设计

 

0-

01[3…0:

r

la

对毫秒位的计数

2)端口定义:

clk为信号时钟输入端

reset为复位端

pause为暂停端

co为进位信号输出端

qh:

毫秒信号的高位输出端ql:

毫秒信号的低位输出端

usem100is

(clk:

reset:

pause:

co:

outstd_logic;

bufferstd_logic_vector(3downto0);

ql:

bufferstd_logic_vector(3downto0));

endm100;

architecturebehaveofm100is

co<

='

1'

when(qh="

1001"

andql="

)else'

0'

process(clk,reset,pause)

beginif(reset='

qh<

="

0000"

ql<

=qh;

elsif(clk'

eventandclk='

if(ql="

if(qh="

OOOO"

elseqh<

=qh+1;

elseql<

=ql+1;

endprocess;

仿真结果

模块的正确性

co

秒计时模块设计

reset

5h[3..OJ^

ci

1)

模块功能:

对毫秒位的计数

usem60_secis

(reset:

ci:

endm60_sec;

architecturebehaveofm60_secis

0101"

andci='

process(reset,pause,ci)

elsif(pause='

)then

=ql;

elsif(ci'

eventandei='

)then

q|v="

确性

分计时模块设计

M60-

jnH

gJil3..□]

pans&

gA[3..0:

:

elk为信号时钟输入端

co为进位信号输出端

usem60_minis

bufferstd_logic_vector(3downto0)

);

endm60_min;

architecturebehaveofm60_minis

=ql;

H・b

I丫ghLf.

I…

a

11

laiU

1D

Aa

1am

*&

l

tD

Ml

feD

^1

9□

1Q

B4

1nmB

t1[

■D

*cl

90

■Q

C^IhL

91

量f亘至昔一已區一

1-3s曲f>

更回血罐卄liMpNb玖Qus*icp・暨j甦KflpH换]

饷D”

r^_rL_rL_rLn_rL_rLrLj*^"

L_rLrLr_rLrL_rLrLrLrLrL_n_rL_rLj^iriTt

77TX

(niD

[n.3

「I_j_rzz^

高位为6进制,低位为10进制,ci为脉冲信号,当ql=9的时候,qh在下

时刻会增1,可以证明模块的正确性

5、模块名:

显示模块设计

adr是选择模块结果的输入端

q_show是控制数码管段亮的输出端

useBCD_7is

q_show:

outstd_logic_vector(6downto0));

endBCD_7;

architecturebehaveofBCD7is

process(adr)

caseadris

when"

q_show<

1111110"

0001"

0110000"

0010"

1101101"

0011"

1111001"

0100"

0110011"

1011011"

0110"

1011111"

0111"

1110000"

1000"

1111111"

1111011"

null;

H

3-□u

1M>

■fanH0M

St

•g

u4“

An

*2

*2M1■戒4■■w^fi■«

SJr

1rm

m1cmJwu

V

nilI[m

amS'

CIUf

ILCIiLADsEOUEWJDILIIL

曲[ri!

i

11*1

11

-1

r-

Il

jT"

nnt_j—

4口1

J

L1

・4

btrbh

I0

JJLtiJD工K拠)i.nil

.V

*

ILIKiXIILXil

lOdJULJ

L^IIEht

ninwXiiiHii1ikjiir<

Jiiiiii丄【lmu[

r

II

c-ih

J0

LhL

■1

11

u

L11

1_・h

t1

11]1

»

4

L-

随着adr的值增加,q_show输出相应的值,数码管相应的段会亮,证明模块是正确的

四、数字秒表整体组装

1、顶层原理图

1.工作情况

输入信号经过分频器输给计时模块,计时模块的各位输给选择(CHOICE)

模块,选择模块选择输出,再经过转码(BCD_7模块控制数码管段的亮灭;

输入信号的另一路经过分频器给扫描(SEL模块,SEL的输出信号一方面给CHOICE模块提供提供选择信号,另一方面又给译码器(decode3_8)模块提供译码信号来控制灯位的亮灭。

,Pause和reset分别控制暂停和复位。

2.模块间的连接关系:

扫描(SEL模块的输出端接译码(decode3_8)模块和选择(CHOICE模块,计

时模块接选择(CHOICE模块,选择(CHOICE模块将选到的信号给转码(BCD_7)模块控制数码管段的亮灭

2、仿真结果

■运詈巫益"

一■一器豆咅一

确性

输入信号后,数码管会不停的被扫描,

段和位会选择亮,

可以证明模块的正

管脚分配:

,脚编号

管脚定义

管脚编号

153

Clk50MHZ

104

duan1

4

Pause

101

duan2

127

100

duan3

86

Wei7

85

duan4

87

Wei6

84

duan5

88

Wei5

83

duan6

93

Wei4

82

dp

94

Wei3

95

Wei2

98

Wei1

99

Wei0

duanO

hout3

箱子接上电源,下载即可运行,数码管会开始计时

elk

分频器端的输入频率f=50MHZ

计时模块的暂停输入端

计时模块的复位输入端

duan[0..6]

数码管段的控制端

wei[7..O]

数码管位的控制端

数码管的“.“接地

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2