eda实习报告展示Word文档格式.docx

上传人:b****3 文档编号:6524342 上传时间:2023-05-06 格式:DOCX 页数:9 大小:19.82KB
下载 相关 举报
eda实习报告展示Word文档格式.docx_第1页
第1页 / 共9页
eda实习报告展示Word文档格式.docx_第2页
第2页 / 共9页
eda实习报告展示Word文档格式.docx_第3页
第3页 / 共9页
eda实习报告展示Word文档格式.docx_第4页
第4页 / 共9页
eda实习报告展示Word文档格式.docx_第5页
第5页 / 共9页
eda实习报告展示Word文档格式.docx_第6页
第6页 / 共9页
eda实习报告展示Word文档格式.docx_第7页
第7页 / 共9页
eda实习报告展示Word文档格式.docx_第8页
第8页 / 共9页
eda实习报告展示Word文档格式.docx_第9页
第9页 / 共9页
亲,该文档总共9页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

eda实习报告展示Word文档格式.docx

《eda实习报告展示Word文档格式.docx》由会员分享,可在线阅读,更多相关《eda实习报告展示Word文档格式.docx(9页珍藏版)》请在冰点文库上搜索。

eda实习报告展示Word文档格式.docx

  按照教学课件《QUARTUSII使用方法》,学习QuartusⅡ软件的使用方法:

  1.在WINDOWS界面双击QuartusⅡ图标进入QuartusⅡ环境;

  2.单击File菜单下的NewProjectWizard:

Introduction按照向导里面的介绍新

  建一个工程并把它保存到自己的路径下面。

  3.单击File菜单下的New,选择VHDLFile,后单击OK,就能创建一个后缀名为.vhd的文本文件。

此vhd文件名必须与设计实体名相同。

另外,如果已经有设计文件存在,可以按File菜单里面的Open来选择你的文件。

  4.输入完成后检查并保存,编译。

  5.改错并重新编译;

  6.建立仿真波形文件并进行仿真。

单击File菜单下的New,选择VectorWaveformFile,单击OK,创建一个后缀名为*.vwf的仿真波形文件,按照课件上的方法编辑输入波形,保存,进行仿真,验证仿真结果是否正确;

  7.选择器件及分配引脚,重新编译;

  8.根据引脚分配在试验箱上进行连线,使用LED进行显示;

  9.程序下载,观察实验结果并记录;

  四.实验要求

  1.用VHDL语言编写3/8译码器;

  2.编写3/8译码器模块的源程序;

  3.在QuartusII平台上仿真;

  4.在实验板上面实现这个3/8译码器。

  五、VHDL源程序:

  LIBRARYIEEE;

  USE_LOGIC_;

  ENTITYDECO3TO8IS

  PORT(

  S:

INSTD_LOGIC_VECTOR(2DOWNTO0);

--输入端3个端口

  Y:

OUTSTD_LOGIC_VECTOR(7DOWNTO0));

--输出端7个端口

  ENDENTITY;

  ARCHITECTUREBEHAVE(转载于:

在点网)OFDECO3TO8IS

  BEGIN

  WITHSSELECT

  Y  ENDBEHAVE;

  仿真波形:

  通过在实验板上的操作,可以看到当改变S2,S1,S0的值时,对应的LED灯会亮。

心得体会

  在本次实验中我学会了用VHDL语言编写简单的程序,检查程序的错误,如何仿真程序以及如何用实验箱观察实验结果。

在本次实验中我觉得软件应用仿真比较简单,只是实验箱不好用,找了好几个才找到一个能用的实验箱,浪费了好多时间。

在以后的实习中一定要先找好好用的实验箱。

  实验二BCD七段显示译码器实验

  1.了解和熟悉组合逻辑电路的设计方法和特点;

  2.掌握LED显示器的工作原理;

  3.设计一个BCD七段显示的译码器,并在实验箱上面实现你的译码器。

  LED数码显示器是数字系统实验里面经常使用的一种显示器件,因为它经常显示的是十进制或十六进制的数,所以我们就要对实验里面所用到的二进制数进行译码,将它们转换成十进制的或是十六进制的数。

LED数码显示器分为共阴和共阳两种,本实验使用的是共阴的连接,高电平有效。

输入信号为D0,D1,D2,D3,相应的输出8段为a、b、c、d、e、f、g、Dp。

它们的关系表格如下:

  下图为译码器逻辑图,请按图进行连线。

  贵州师范大学学生

  实习报告

  科目:

EDA实习

  专业:

电气工程及其自动化

  班级:

10电气

  姓名:

李启应

  学号:

101401010202

  实验项目名称:

数字电子钟的设计

  实验项目性质:

普通试验

  所属课程名称:

VHDL程序设计

  一、实验目的

  1学习VHDL语言的一些基本特点。

  2掌握VHDL程序的基本结构。

  3掌握VHDL程序设计方法。

  4要能够用vhdl语言读懂并编写eda程序,对eda设计的总体框架能有较好的把握,掌握各模块的调用方式。

  二、实验内容和要求

  设计一个数字时钟,显示时,分,秒,具体要求是:

具有时分秒计数显示功能,以24小时循环计时;

数码管动态显示时,分,秒;

具有清零功能。

  在软件工具平台上,进行VHDL语言的各模块编程输入、编译实现和仿真验证。

  三、实验主要仪器设备和材料

  计算机,开发环境MAX-PLUSII,ZY11EDA实验系统,VHDL语言。

  四、实验方法、步骤及结果测试

  1、设计思路:

  数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分位60进制计数器,小时为24进制计数器,分别产生3位BCD码。

BCD码经译码,驱动后接数码显示电路。

  根据实验要求,将设计分为5个主要部分,时功能模块、分功能模块、秒功能模块、扫描仪功能模块和7段LED功能模块。

在时、分、秒模块中,包括复位和预置数,其主要思路如下:

  秒钟的模块:

设计一个60进制的计数器,以clk为其时钟信号,每60个clk后产

  生一个进位信号CF给分钟模块,作为分钟进程的响应信号。

  秒钟模块VHDL程序见附录1:

  仿真波形如下:

  封装如下图:

  分钟的模块:

同理于秒钟的模块,设计一个60进制的计数器,以CFM为其时钟信号,每60个CFM后产生一个进位信号CFM给小时模块,作为小时模块进程的响应信号。

分钟模块VHDL程序见附录二:

  小时的模块:

为24进制计数器,在分的进位信号CFM的激发下计数,从0到23的时候产生一个信号CFH,全部清0,重新开始计时。

  小时模块VHDL程序见附录三:

  扫描仪模块:

在扫描仪内部,有一个3-8译码器的片选信号,当3-8译码器的片选信号为000时,片选信号选中7段LED模块中的秒的个位,当3-8译码器的片选信号为001时,片选信号选中7段LED模块中的秒的十位,当3-8译码器的片选信号为010时,片选信号选中7段LED模块中的分的个位,当3-8译码器的片选信号为011时,片选信号选中7段LED模块中的分的十位,当3-8译码器的片选信号为100时,片选信号选中7段LED模块中的时的个位,当3-8译码器的片选信号为101时,片选信号选中7段LED模块中的时的十位,就这样动态扫描,当输入的时钟信号频率很高的时候,就形成了我们的时钟。

  扫描仪模块VHDL程序见附录四:

  目录

  实验一、3/8译码器实现.........................................................................................................2

  一、实习目的:

...................................................................................................................2

  二、实验说明.......................................................................................................................2

  三、实验步骤.......................................................................................................................2

  四、实验结果.......................................................................................................................3

  实验二、BCD七段显示译码器.............................................................................................4

  一、实验目的.......................................................................................................................4

  二、实验说明及原理...........................................................................................................4

  三、实验要求.......................................................................................................................6

  实验感想一...........................................................................................................................7

  实验三、分频器的设计实现...................................................................................................7

  一、实验目的.....................................................................................................................7

  二、实验说明及原理.........................................................................................................7

  三、实验要求.....................................................................................................................9

  四、实验结果.......................................................................................................................9

  实验四动态扫描数码显示器...............................................................................................10

  一、实验目的.....................................................................................................................10

  二、实验说明及原理.........................................................................................................10

  三、实验要求.....................................................................................................................11

  四、实验结果.....................................................................................................................11

  感想心得二.........................................................................................................................12

  实验五24进制数字钟的设计..............................................................................................13

  一、实验目的.....................................................................................................................13

  二、实验说明及原理.........................................................................................................13

  三、实验要求.....................................................................................................................14

  四、实验结果.....................................................................................................................15

  感想心得三.........................................................................................................................35

  实验一、3/8译码器实现

  1、学习Quartus2软件的使用方法

  2、熟悉教学试验箱的使用

  3、设计一个3/8译码器

  4、初步掌握VHDL语言和原理图的设计输入,编译,仿真和调试过程

  二、实验说明

  3/8译码器的逻辑扩展功能如下:

  本实验要求使用VHDL描述3/8译码器,并在实验平台上上面实现这个译码器。

描述的时候注意VHDL语言的结构和语法,并熟悉Quartus2的文本编辑器的使用方法,尝试使用不同的VHDL语言描述语句实现3/8译码器。

将程序下载到实验箱上,分别用按键和LED作为输入和输出对结果进行验证,进一步熟悉所用EDA试验箱系统。

  所用器件:

  EDA试验箱、EP1K10TC100—3器件

  原理:

由三个输入端A、B、C和八个输出端Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7组成,输入输出用二进制表示。

  三、实验步骤

  Quartus软件的使用方法:

  1)在WINDOWS界面双击Quartus2图标进入Quartus2环境

  2)单击file菜单下的NewProjectWizard:

Introduction按照向导里面的介绍新建一个工程并把它保存到自己的路径下面

  3)单击file菜单下的New,选择VHDLFile,后单击OK,就能创建一个后缀为.vhd(*.bdf)的文件

  4)输入完成后检查并保存,编译

  5)改错并重新编译

  6)建立仿真波形文件并进行仿真

  7)选择器件及分配引脚,重新编译;

根据引脚分配在试验箱上进行连线,使用LED进行显示

  8)程序下载,观察实验结果并记录

  四、实验结果

  1、3/8译码器

  ENTITYyimaIS

  PORT(A:

  DECODE38:

  END;

  ARCHITECTUREoneOFyimaIS

  PROCESS(A)

  CASEAIS

  WHEN"

000"

=>

DECODE38  WHEN"

001"

010"

011"

100"

101"

110"

111"

DECODE38  WHENOTHERS=>

NULL;

  ENDCASE;

  ENDPROCESS;

  2、波形仿真

  实验二、BCD七段显示译码器

  一、实验目的

  1、了解和熟悉组合逻辑电路的设计方法和特点

  2、掌握LED显示器的工作原理

  3、设计一个BCD七段显示的译码器,并在实验箱上实现你的译码器

  二、实验说明及原理

  LED数码显示是数字系统实验里面经常使用的一种器件,以为它经常显示的是十进制或十六进制的数,所以我们就要对实验里面用到的二进制数进行译码,将它们转换成十进制的或是十六进制的数,LED数码显示器分为共阴和共阳极两种,本实验使用的是共阴的连接,高电平有效。

输入信号为D0、D!

、D2、D3,相应的输出8段位a、b、c、d、e、f、g、Dp。

它们的关系表格如下图:

  其中A、B、C、D按拨号开关,a、b、c、d、e、f、g、Dp和使能端AN接数码显示接口,管脚映射均为I/O口,映射后,通过拨号开关改变输入二进制码,则输出数码管上显示相应的数值。

  在实验中要注意显示器上每一段LED要和程序里面的对应。

七段译码器是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现6—18作为7段译码器,输出信号LED7S的7位分别接管的7个段,高位在左,低位在右。

例如当LED7S输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别接1、1、0、1、1、0、1,接有高电平的段发亮,于是数码管显示“5”,若考虑小数点的发光管,如果考虑需要增加h段。

  

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 表格模板 > 合同协议

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2