路作文之时序电路设计实验报告Word格式文档下载.docx

上传人:b****4 文档编号:6867574 上传时间:2023-05-07 格式:DOCX 页数:16 大小:24.57KB
下载 相关 举报
路作文之时序电路设计实验报告Word格式文档下载.docx_第1页
第1页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第2页
第2页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第3页
第3页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第4页
第4页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第5页
第5页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第6页
第6页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第7页
第7页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第8页
第8页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第9页
第9页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第10页
第10页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第11页
第11页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第12页
第12页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第13页
第13页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第14页
第14页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第15页
第15页 / 共16页
路作文之时序电路设计实验报告Word格式文档下载.docx_第16页
第16页 / 共16页
亲,该文档总共16页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

路作文之时序电路设计实验报告Word格式文档下载.docx

《路作文之时序电路设计实验报告Word格式文档下载.docx》由会员分享,可在线阅读,更多相关《路作文之时序电路设计实验报告Word格式文档下载.docx(16页珍藏版)》请在冰点文库上搜索。

路作文之时序电路设计实验报告Word格式文档下载.docx

第二种方案,虽然所用电子元器件较多,功耗大,进制可以根据需要进行人工设置,适应环境能力强,可应用不同机制的计数。

在市场比较大的和应用场所复杂的环境下,可以大批量生产一降低其制造成本,获得一定的经济效益。

改进:

在对设计电路图进行仿真的时候可以改进,因为时钟脉冲的周期为t=1.071s,进行计数仿真使需要观察计数的变化,但是当进制比较大的时候,等待时间比较长,浪费大量时间,为了使主要精力集中于设计和检测计数的准确与否,可以将放电(也是充电)电

容值设置小一点,如上图设置为0.1uf即100nf,则?

′=0.1t=0.1071s,可以大大减少设计时间。

2.试用十进制异步加法计数器74ls90和二4输入与门(74ls21)构成百以内任意进制计数器,并采用led数码管显示。

用555定时器设计多谐振荡电路,为同步加法计数器74ls90提供时钟输入信号。

例如,采用同步加法计数器74ls90构成26进制加法计数器的参考电路如图3所示。

cp

(一)计数器进制固定不变

(1)此实验的设计时钟脉冲提供使仍然是由555定时器构成的多谐振荡器产生脉冲,器原理叙述同上体所述。

(2)根据2/5分频异步加法器74ls90,其实有二进制加法计数器和无禁止加法计数器构成成,若时钟从cpa段输入,在外部将qa和cpb连接,就构成了十进制计数器。

器功能是:

当r0

(1)=r0

(2)=1,s9

(1)=s9

(2)=0时,输出qaqbqcqd=0000,为异步清零。

当r0

(1)=r0

(2)=0,s9

(1)=s9

(2)=1时,输出qaqbqcqd=1001,为置数。

当r0

(1)r0

(2)=0,s9

(1)s9

(2)=0时,为计数。

(3)74ls90的级联可以扩大计数的进制和容量,可以将低位片的最高位qd连接到高位的cpb段,就可以实现级联。

(4)计数器的进制的确定。

利用异步清零法实现进制改变.

a.对于进制m小于片的进制n使,可以将m对应的代码用逻辑信号表示。

例如实现8进制,则将8对应的二进制代码1000,则可以用与门实现r0

(1)=r0

(2)=qd。

设计电路图如下所示:

dcd_hex_dig_red

如图所示,电路图为8进制计数器,左边为低位计数,右边为高位计数,此时计数到

3..

b.对于m大于n的进制可以将74ls90进行级联,在进行类似的方法处理。

例如设计52进制的设计,可以将两片进行级联,53对应的代码为01010011,则对应的逻辑为r01

(1)=r01

(2)=r02

(1)=r02

(2)=qa2qc2qa1qb1。

设计的电路图如下:

如图所示,电路图为53进制计数器,左边为低位计数,右边为高位计数,此时计数计到16..

上述电路在仿真使为加快调试速度,减小了电容,使周期大大缩小。

如上两幅图中用到的电容值分别为100nf和10nf,使周期为原来的1/10和1/100.

(二)计数器进制固定可变。

其余分析方法均与第一题中的应用比较器74ls85思路一致,和上例中的分析以及级联分析相同,这里不再详细分析。

用和上题中的相同方法可以获得技术进制的改变计数,使其功能变得强大。

【篇二:

时序电路实验报告】

时序电路实验说明:

在实验原理图中的框图中,第一步的产生环形脉冲是指产生q4~q1:

1000-1100-1110-0000-1000,产生的译码后的节拍电位是指t40~t41:

0001-0010-0100-1000-0001,最后通过启停控制逻辑,启动,单拍,停机控制t40~t41怎么输出。

但是为什么环形脉冲没有1111这一个状态呢,为什么在0111就归零了呢?

分析电路图可知,因为节拍电位只有4个状态,所以在环形脉冲的设计中忽略了1111,当然也可以设计成没有0000这个状态。

先解释机器周期、节拍电位、时标脉冲。

机器周期的时间宽度通常对应于一个内存存取周期,即一个机器周期可以访问一次内存。

机器周期分为多种类型,如取指令周期、取操作数周期、执行周期等。

一条指令从内存中取出到被执行完需要若干个不同类型的机器周期,但任何指令的第一个周期都是取指令周期,每个机器周期包含若干个节拍电位。

节拍电位是控制操作顺序的信号,各节拍电位在时间上不重叠。

节拍电位由主振分频得到。

其宽度对应于运算器执行一次算术/逻辑运算所需要的时间,一个节拍电位包括若干时标脉冲。

时标脉冲用来保证计算机内触发器的可靠翻转,它的宽度为节拍电位的几分之一。

以上内容书上也有,下面举例来解释这3个概念。

一条指令有分为取指周期,译码周期,执行周期。

如比较熟悉的取指周期中就有

fetch1:

ar←pc

fetch2:

dr←m,pc←pc+1

fetch3:

ir←dr[7..6],ar←dr[5..0]

fetch1,fetch2,fetch3为3条微指令。

每条微指令都对应相应的控制信号,如fetch1就是arload有效,其余控制信号均无效。

时标脉冲是指在节拍电位中,产生控制信号逻辑的每个时钟信号;

节拍电位就是产生一组控制信号逻辑,fetch1、fetch2、fetch3分别对应3个节拍电位;

机器周期就是整个取指周期,包括3个节拍电位。

下面解释实验原理图:

74175是为了产生环形脉冲的,环形脉冲q4~q1为

第二个7474和组合逻辑是产生启停控制逻辑的。

通过产生的控制信号与

t40~t41进行与运算,最后得到真正的节拍电位。

qd是通过锁存器产生,由于单拍和启动信号都与周期有关,也就是可以理解为,周期结束后单拍和启动信号才发挥作用,一个是让输出全为0,一个是输出为t40~t41,所以通过7474,来控制信号什么时候有效,所以连接的时钟信号与q4一致,单拍时d=0,启动时d=1,会发现中间有反馈信号,这个是因为单拍和停机都必须在启动状态下才有效,所以有这样一个连接。

另外在锁存器后连接了一个与非门和与门,这个我觉得是用来判断优先级的,因为启动状态时,只有当单拍和停机都无效时d=1,而单拍和停机都有效时d=0,当然中间的停机信号设计有问题。

1.电路设计

时序电路

libraryieee;

useieee.std_logic_1164.all;

entitysm1is

port(

clock:

instd_logic;

t1:

outstd_logic;

t2:

t3:

t4:

outstd_logic

);

endsm1;

architecturebehaviorofsm1is

typetype_fstateis(idle,st1,s_st2,st4,st2,s_st3,st3,s_st4);

signalfstate:

type_fstate;

signalreg_fstate:

begin

process(clock,reset,reg_fstate)

if(reset=1)then

fstate=idle;

elsif(clock=1andclockevent)thenfstate=reg_fstate;

endif;

endprocess;

process(fstate,qd,dp,tj)

t1=0;

t2=0;

t3=0;

t4=0;

casefstateis

whenidle=

if(not((qd=1)))then

reg_fstate=st1;

else

endif;

whenst1=

if(((tj=1)andnot((dp=1))))thenreg_fstate=st1;

elsif(((dp=1)andnot((tj=1))))thenreg_fstate=s_st2;

reg_fstate=st2;

t1=1;

whens_st2=

if((tj=1))then

reg_fstate=s_st2;

reg_fstate=s_st3;

t2=1;

whenst4=

if(((tj=1)andnot((dp=1))))thenreg_fstate=st4;

elsif(((dp=1)andnot((tj=1))))then

else

t4=1;

whenst2=

if(((tj=1)andnot((dp=1))))thenreg_fstate=st2;

elsif(((dp=1)andnot((tj=1))))thenreg_fstate=s_st3;

reg_fstate=st3;

whens_st3=

reg_fstate=s_st4;

t3=1;

whenst3=

if(((tj=1)andnot((dp=1))))then

【篇三:

时序实验报告】

实验报告实验项目名称时间序列数据平稳性检验实验室机房八

所属课程名称时间序列分析实验类型软件操作

实验日期2011.5.25班级金融保险统计学号2008119060姓名蔡志文

成绩篇二:

基本时序电路的实验报告专业:

电气工程及自动化姓名:

邓思原实验报告学号:

3130103251日期:

1月5日地点:

东三-310课程名称:

电路与电子技术实验ⅰ指导老师:

李玉玲成绩:

__________________实验名称:

实验25时序逻辑电路设计、实验27脉冲分配器实验类

型:

_____同组学生姓名:

__

一、实验目的和要求

1、加深理解时序电路的工作原理;

2、学习时序电路的设计与调试;

3、掌握时序集成

电路的应用。

二、实验内容和原理原理:

1、同步十进制加法计数器设计(给定条件为74ls107双jk触发器两片和74ls11三输入

与门一片)①画出状态转换图②列出状态表,得出对jk的要求③求jk的函数式(用卡诺图求,1010至1111六种当无关项处理)④实验驱动方程,按表达式画出电路图

2、脉冲分配器原理和设计②画出状态转换图②列出状态表③求d的函数式④根据表达式画出电路图内容:

1、用74ls107型jk触发器和74ls11三输入与门设计一个8421bcd码的同步十进制加法

计数器;

2、用74ls74型d触发器和74ls55与或非门设计脉冲分配器电路。

三、主要仪器设备

芯片74ls107双jk触发器两片、74ls107型双j--k触发器两片、74ls11三输入与门一

片、74ls00与非门一片和与74ls55或非门三片;

实验面板;

导线;

示波器等。

四、操作方法和实验步骤

装好芯片,按图接线,进行调试,记录波形图。

1、调试过程同步十进制加法计数器:

①连接好电路,输出连发光管,用1hz信号或手控脉冲作为计数脉冲进行调试;

②输出连数码管模块的d、c、b、a,计数脉冲用1hz信号或手控脉冲,观察显示结果;

③加入1khz的方波作为计数脉冲,用示波器观察cp及4个输出端的波形,记录实验波形;

检查电路能否自启动。

先将输出置成无效态,然后再加入计数脉冲。

脉冲分配器:

①连接好电路,用手控脉冲作为计数脉冲进行调试:

(1)x=“1”时的功能;

(2)x=“0”时的功能;

(3)检查自启动功能。

②加入1024hz的方波作为计数脉冲,用示波器观察cp、qa、qb、qc的波形,记录实验

波形。

2、注意事项

①实验前应检查芯片的逻辑功能;

②由于实验箱上1hz、1khz信号驱动能力有限,可在

1khz信号后接非门以增强驱动能力。

五、实验数据记录和处理

波形图照片:

1、同步十进制加法计数器

cp端与q0端q0端与q1端q1端与q2端q2端与q3端篇三:

时序电路设计实验报告实验报告

——常用电子仪器的使用与二端口网路参数的测量方法实验目的:

⑴了解电子示波器(ss7804/7810)、函数信号发生器(ee1642b1)、直流稳压电源

(dh1718e-4)等几种常用电子仪器的原理、主要技术指标。

⑵熟悉示波器状态的正确掌握方法(包括亮度、聚焦、触发源与触发方式、耦合方式、y

轴衰减器与时基单元等),掌握用示波器测量直流电源、交流电压(包括幅度、频率、相位差)、

时间间隔以及脉冲波形的上升沿、下降沿等参数的方法。

⑶掌握函数信号发生器的正确调整

方法。

包括包括频率范围、频率微调、占空比、直流偏移、输出衰减、输出幅度等的调节。

⑷结合常用电子仪器的使用,学习二端口网路主要参数(输入电阻、输出电阻、增益、

幅频特性等的测量方法。

⑸学习用示波器测量电路的电压传输特性。

学习信号发生器直流偏移功能的应用。

实验原理:

⑴电子示波器(ss7804)的使用方法:

1.按下电源开关打开示波器。

2.调节亮度旋钮,若扫描线未显示,使用寻迹开关寻找。

3.将ch1/ch2连出的线连到校准信号输出端,检验y轴灵敏度和x轴的扫描速度。

4.将要测的信号接入ch1/ch2,根据要求调整示波器的ac/dc档。

调节y轴位移旋钮,

使扫描线移动到显示器正中间,再调整水平位置微调键使扫描线停止不动。

5.利用游标或屏

幕的显示数据来完成实验内容。

⑵函数信号发生器(ee1642b1)的使用方法:

1.按下电源

开关,打开信号发生器。

2.使用函数输出波形选择键选择输出波形。

3.调节apml键调节函数信号的输出幅度。

再用rate

4.按下频率范围选择键选择频率范围,调节频率调节旋钮粗调频率,再用rate键微调

率。

合function旋钮使游标与波形相切,再读数。

2.平均脉宽tw:

脉冲前后沿瞬时值为0.5vm

的对应点之间的时间间隔称为平均脉冲宽度。

同上,只需将游标从水平改为竖直。

3.重复周期t:

相邻两个脉冲对应点之间的时间间隔,倒数为重复频率f。

同2的测量

4.上升时间tr:

脉冲波形从0.1vm上升到0.9vm所需的时间。

先调节波形至稳定,按下gnd按钮,再用position旋钮将亮线调至中线,在通过ch1/ch2

的volts/div旋钮先粗条再微调至波形占6格,再按下magx10按钮,并调节position旋钮

找到上升沿,再用time/div调节,然后用游标来读数。

5.下降时间tf:

脉冲波形从0.9vm下降到0.1vm所需的时间。

找到上升沿后按下scope

按钮即可找到下降沿。

6.占空比d:

通常将平均脉宽tw与重复周期t的比值称为占空比。

同2的测量,再代入d=tw/tx100%。

⑴示波器和函数信号发生器的应用1.观察示波器的校准信号:

调节函数信号发生器,使之输出幅度为1vp,频率为10khz的正弦电压,记录示波器测

得的3.测量不同频率下两正弦交流电压的相位差:

实验电路如图2.471所示。

输入电压是幅度为1vp,频率分别为10khz和20khz的正弦

交流电压,分别测输入和输出电压的幅度以及他们之间的相位差。

图2.471

调节函数信号发生器,使幅度显示为5vp,频率显示为100khz,占空比调节旋钮顺时针

旋转到底,记录示波器测得的幅度、周期(频率)、占空比。

5.测量脉冲波形的上升沿、下降沿。

实验电路如上图2.471所示。

v1是幅度为1vp,频率为5khz的方形脉冲,记录示波器测

得的v1与vo的波形及其上升时间tr、下降时间tf。

探头在信号源与示波器间建立通道,并能通过调节来改变输入电阻与输入电容。

7.示波器测量直流电压调节函数信号发生器,使其输出频率为1khz,幅度为5v的正弦波,用示波器(dc耦合)

观察该信号波形。

然后顺时针旋转dffset旋钮,观察并记录直流偏移为0(offset旋钮处于

关断位置)和最大、最小时的波形。

注意在波形不失真的情况下测量。

⑵二端口网路参数的测量:

为了简单,下面的测试采用图2.471所示的无源rc网路。

1.测量图2.471电路的输入

电阻(频率为1khz)。

2.测量图2.471电路的输出电阻(频率为1khz)。

3.测量图2.471

班级:

自06学号:

2010030031⑶利用示波器x-y工作方式,观测图一电路的电压传输特性曲线。

输入信号vi时低电平

为0v、高电平为5v,频率200hz的锯齿波电压。

1.确定示波器扫描线零电平的位置将ch1、ch2探头的“探针”和“地接夹子”都接在学习机地线端,调整示波器y轴位移

旋钮,确定扫描线零电平位置。

(可将ch1、ch2通道的零电平调整到同一水平线上)。

2.用

示波器测量信号发生器的输出波形篇四:

时序电路实验报告时序电路实验说明:

1000-1100-1110-0000-1000,产生的译码后的节拍电位是指t40~t41:

0001-0010-0100-1000-0001,最后通过启停控制逻辑,启动,单拍,停机

控制t40~t41怎么输出。

分析电路图

可知,因为节拍电位只有4个状态,所以在环形脉冲的设计中忽略了1111,当然也可以设计

成没有0000这个状态。

机器周期分为多种类型,如取指令周期、取操作数周期、执行周期等。

一条指令从内存中取

出到被执行完需要若干个不同类型的机器周期,但任何指令的第一个周期都是取指令周期,

每个机器周期包含若干个节拍电位。

节拍电位是控制操作顺序的信号,各节拍电位在时间上不重叠。

节拍电位由主振分频得

到。

其宽度对应于运算器执行一次算术/逻辑运算所需要的时间,一个节拍电位包括若干时标

脉冲。

如比较熟悉的取指周期中就有fetch1:

dr←m,pc←pc+1fetch3:

ir←dr[7..6],ar←dr[5..0]fetch1,fetch2,fetch3为3条微指令。

每条微指令都对应相应的控制信号,如fetch1

就是arload有效,其余控制信号均无效。

节拍电位就是产生一

组控制信号逻辑,fetch1、fetch2、fetch3分别对应3个节拍电位;

机器周期就是整个取指

周期,包括3个节拍电位。

第一个7474是产生清零信号和时钟信号,清零信号是当q2=1时,在时钟上升沿clrn=0,

都作为无关项理解。

q4~q1:

1000-1100-1110-0000-1000对应t40~t41:

通过产生的控制信号与t40~t41进行与运算,最后得到真正的节拍电位。

qd是通过锁存器产生,由于单拍和启

动信号都与周期有关,也就是可以理解为,周期结束后单拍和启动信号才发挥作用,一个是

让输出全为0,一个是输出为t40~t41,所以通过7

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2