VirtuosoSchematicEditor电路仿真.docx

上传人:b****4 文档编号:7004078 上传时间:2023-05-10 格式:DOCX 页数:13 大小:1MB
下载 相关 举报
VirtuosoSchematicEditor电路仿真.docx_第1页
第1页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第2页
第2页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第3页
第3页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第4页
第4页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第5页
第5页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第6页
第6页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第7页
第7页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第8页
第8页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第9页
第9页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第10页
第10页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第11页
第11页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第12页
第12页 / 共13页
VirtuosoSchematicEditor电路仿真.docx_第13页
第13页 / 共13页
亲,该文档总共13页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

VirtuosoSchematicEditor电路仿真.docx

《VirtuosoSchematicEditor电路仿真.docx》由会员分享,可在线阅读,更多相关《VirtuosoSchematicEditor电路仿真.docx(13页珍藏版)》请在冰点文库上搜索。

VirtuosoSchematicEditor电路仿真.docx

VirtuosoSchematicEditor电路仿真

VirtuosoSchematicEditor电路仿真

一、VirtuosoSchematicEditor

实验目的:

掌握电原理图(schematic)设计输入方法。

[1]启动ICDesign软件:

开机后运行VMware,选择Poweronthisvirtualmachine

启动Linux系统,进入登录界面,输入用户名和密码

用户名:

cj,Password:

cj

cj>startx回车,启动图形界面

Shell窗口,命令行模式

cdcj

icfb&

出现“icfb-log:

/…”窗口(CIW:

CommandInterpreterWindow)

[2]建立新库、新单元以及新视图(view):

在CIW中,File->New->Library,

在弹出的“NewLibrary”窗口,Name栏中:

mylib

选中右下方:

*Don’tneedtechfile

OK

查看CIW窗口:

Tools->LibraryManager,在Library中应有mylib,单击它。

在LibraryManager窗口,File->New->Cellview,

在弹出的“CreateNewFile”窗口CellName栏中,nand2

Tool栏中,选Composer-Schematic

OK

[3]添加元件(实例instance)

在弹出的“VirtuosoSchematicEditing:

…”窗口中,左边为工具栏,选instance图标(或i)单击“Addinstance”窗口Library栏最右侧Browser,弹出“LibraryBrowser-…”窗口,Library选analogLib,Cell选nmos4,View选symbol鼠标回到“Addinstance”窗口,Modelname栏:

nch,width:

3.0u,Length:

0.5u,Hide在“VirtuosoSchematicEditing:

…”窗口,鼠标左键单击一次,间隔一定距离再单击一次,这样就增加了2个nmos4元件,ESC(试一试:

先选中一个元件,再q,查看/改变属性)。

仿照上述方法,增加pmos4元件。

在“Addinstance”窗口,Modelname栏:

pch,

width:

2.0u,Length:

0.5u;放置2个pmos4,ESC。

(试一试热键f,[,]的功能)

[4]添加管脚(PIN)

选“VirtuosoSchematicEditing:

…”窗口左边工具栏中的Pin图标(或p)

在“AddPin”窗口中,PinNames:

INAINB,Direction选input,Usage选schematic,Hide

在“VirtuosoSchematicEditing:

…”窗口,鼠标左键单击一次,间隔一定距离再单击一次,

放置2个输入PIN,

p,在“AddPin”窗口中,PinNames:

OUT,Direction选output,Usage选schematic,在“Virtuoso

SchematicEditing:

…”窗口放置输出PIN,

[5]添加电源和地:

i(或单击instance工具图标)

弹出“Addinstance”窗口,Browse,Library选analogLib,Cell栏:

vdd,View选symbol在“VirtuosoSchematicEditing:

…”窗口放置vdd,回到“Addinstance”窗口,Browse,Cell栏:

gnd,在“VirtuosoSchematicEditing:

…”窗口放置gnd,ESC,Cancel掉“Addinstance”窗口。

[6]摆放元件并加网线:

参照下图放好元件(symbol):

(移动用m键,删除用Delete键,取消命令用ESC键)

选“VirtuosoSchematicEditing:

…”窗口左边工具栏中的Wire(narrow),连好网线,ESC

[7]保存文件:

“VirtuosoSchematicEditing:

…”窗口左上角CheckandSave工具。

在mylib库中建立amplifier单元(Cell)如下图所示。

可用q查看/改变属性,另外可能使用m(移动),r(旋转),f(全屏),先m再shift+r(左右翻转),先m再Ctrl+r(上下翻转)等命令。

ESC(终止操作)

如发生了错误删除,用“VirtuosoSchematicEditing:

…”窗口左边中间的undo工具(或u),注意:

只能恢复前一个被删除的对象。

最后checkandsave.

二、层次化设计——symbol生成

[1]symbol生成

进入“VirtuosoSchematicEditing:

mylibnand2schematic”窗口。

Design->CreateCellview->FromCellview

在CellviewFromCellview窗口,FromViewName栏为:

schematic,Tool/DataType栏为

Composer-Symbol。

OK

在“SymbolGenerationOptions”窗口,单击Load/Save按纽,OK

出现“VirtuosoSchematicEditing:

mylibnand2symbol”窗口,Design->CheckandSave改变symbol形状:

用m命令将Symbol的上边界位置和INA管脚向上移动一网格,得到下图:

用m命令将3个cdsParam(n)移到方框外面的下方,将cdsName()移到方框外面上方,将字符OUT移到方框外面右方,删除内部绿色方框。

参照下图,单击菜单Add->Shape->Circle,加个小圆圈,Add->Shape->Arc,加个圆弧(先确定上下2点,如出现anglenotallowed不必紧张,稍微移动鼠标试一试,你会发现如何画弧),用m将左边的红色外框右移(缩短原symbol外框),2个PIN以及连线(INA和INB)同样右移,用Line工具画出缺少的3边。

上述步骤中,均使用ESC取消操作命令。

画好后,Design->CheckandSave

[2]创建amplifier的symbol:

仿照前述步骤,得到如下symbol:

提示:

在“SymbolGenerationOptions”窗口,将iref管脚(Pin)设置为BottomPin。

然后删除cdsParam(3),r旋转pin名iref,

(选做)删除红色外框,选择SelectionBox工具,在“AddSelectionBox”中,Automatic

添加Text:

Add->Note->NoteText,在“AddNoteText”窗口的NoteText文本框中:

Amp将鼠标移至“VirtuosoSymbolEditing:

mylibamplifiersymbol”窗口,在你希望的位置单击,回到“AddNoteText”窗口,Cancel。

Save。

(如果你无法画斜线,鼠标右键点击1、2次就可切换成功)

二、SpectreSimulation

进入Cadence系统:

cdcj

icfb&

实验内容与步骤:

一、nand2电路仿真

[1]、创建激励信号电路模块:

在CIW窗口(icfb-Log:

/…):

Tools->LibraryManager,弹出LibraryManager窗口,在Library中应有mylib,点击它。

File->New->Cellview,

在弹出的“CreateNewFile”窗口CellName栏中,testnand2Tool栏中,选Composer-Schematic,OK

在“VirtuosoSchematicEditing:

…”窗口中,按下图加入单元(Instance)、Pin并连线。

点击CheckandSave

[2]、编写仿真文件

1.设置仿真环境。

点击Tools->AnalogEnvironment

2.设置仿真模型文件路径。

在弹出的“AnalogDesignEnvironment”(ADE)窗口,点击

Setup->SimulationFiles

在IncludePath中加上./Models,->OK

3.输入模型文件名。

在ADE窗口,点击Setup->ModelLibraries

在ModelLibraryFile栏中:

testmodels.scs,->add->OK

4.选择需要查看的信号。

ADE窗口,点击Outputs->ToBePlotted->SelectOnSchematic

在Schematic原理图中点击3个Pin的连线(线会变颜色)。

相应地,此时ADE窗口Outputs框中就有了你所要观察的信号。

再选择Analyses-choose…,设置仿真tran,100us,Enabled,OK

5.保存仿真激励文件。

点击ADE窗口的Session->SaveState

SaveAs栏:

state1

OK

(可以关掉“AnalogDesignEnvironment”窗口)。

[3]、将激励模块加入被仿真电路。

1.回到Schematic窗口,生成testnand2单元的symbol

Design->CreateCellview->FromCellview

在CellviewFromCellview窗口,FromViewName栏为:

schematic,Tool/DataType栏为

Composer-Symbol。

OK

在“SymbolGenerationOptions”窗口,将“TopPins”栏中的“OUTINBINA”拷贝到

RightPins栏中,删除TopPins栏中的原来内容,OK

出现“VirtuosoSchematicEditing:

mylibtestnand2symbol”窗口,Design->CheckandSave,

退出testnand2的symbol窗口。

2.在“LibraryManager”窗口,打开mylib中你在实验一画好的nand2原理图,添加

testnand2元件,按下图连线。

保存文件CheckandSave。

(不要退出Schematic窗口)

[4]、仿真

1.“VirtuosoSchematicEditing:

…”窗口,Tools->AnalogEnvienment

2.加激励。

弹出ADE(CadenceAnalogDesignenvirnment)窗口,Session->LoadState。

在“LoadingState”窗口,Library选mylib,Cell选testnand2,Simulator选spectre;

StateName框中选:

state1,OK

3.设置分析模式和仿真时间。

点击ADE窗口的Analyses->Choose

Analysis选项中tran应有效;StopTime:

200n

Enabled有效,OK

4.计算。

点击ADE窗口右边NetlistandRun,弹出“WelcometoSpectre”窗口,OK

你应该看到spectre.out文件和仿真波形Waveform。

5.选择Waveform窗口上方菜单Axes->Strips,各信号波形分开显示。

6.用鼠标拖WaveformWindow的边界,放大显示区域。

7.试一试,怎样看波形数据?

完成后,在ADE窗口,Session->Quit

二、amplifier电路(运算放大器)仿真

[1]、打开你在实验一画好的amplifier原理图,选中电容,Q,Capacitance值设为800f,Save

andCheck退出。

[2]、新建testamplifier单元

1、查看CIW窗口:

Tools->LibraryManager,在Library中应有mylib,点击它。

在LibraryManager窗口,File->New->Cellview,

在弹出的“CreateNewFile”窗口CellName栏中,testamplifier

Tool栏中,选Composer-Schematic

OK

2、添加元件如下图:

Amp单元(Cell)是你在实验一画好的amplifierCell的Symbol,

其余单元在analogLib库中。

3.将原理图checkandsave!

4.(选做)选Amp模块,e,Descend窗口OK,进入Amp模块(amplifier),自选一个你希望检查的网线,q查看网线属性,如果netName是netXX,如何将它改为tst?

Design->MakeEditable,左边工具图标全有效了,SaveandCheck

CTRL+e,退回到上一层。

SaveandCheck

[3]、设置仿真环境

1.点击Tools->AnalogEnvironment,弹出ADE窗口

2.点击Setup->SimulationFiles

在IncludePath栏中./Models->OK

3.点击Setup->ModelLibraries

在ModelLibraryFile下输入:

testmodels.scs->add->OK

4.点击Outputs->ToBePlotted->SelectOnSchematic

在原理图中点击与Amp单元4个管角相连的信号线(假设是你希望观察的信号),线会变颜色,端口被圈;选Amp模块,e,Descend窗口OK,进入Amp模块(amplifier),点击gnode线,再选中一个你希望检查的网线;

5.此时ADE窗口Outputs下已有你需要观察的信号,点击Session->SaveState

SaveAs:

state2->OK

[4]、仿真运算放大器的闭环增益

1.(如未退出ADE,本步可以不做)在ADE(CadenceAnalogDesignenvirnment)窗口,

Session->LoadState。

在“LoadingState”窗口,Library选mylib,Cell选testampifier,StateName为state2,OK

2.点击Analyses->choose将stoptime从100n改为10u->OK

3.点击ADE窗口右边NetlistandRun,弹出“WelcometoSpectre”窗口,OK

你应该看到spectre.out文件和仿真波形Waveform。

4.在Waveform窗口,查看波形,Axes->Strips,各信号波形分开显示。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > IT计算机 > 电脑基础知识

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2