通信系统课程设计资料.docx

上传人:聆听****声音 文档编号:702801 上传时间:2023-04-29 格式:DOCX 页数:24 大小:453.54KB
下载 相关 举报
通信系统课程设计资料.docx_第1页
第1页 / 共24页
通信系统课程设计资料.docx_第2页
第2页 / 共24页
通信系统课程设计资料.docx_第3页
第3页 / 共24页
通信系统课程设计资料.docx_第4页
第4页 / 共24页
通信系统课程设计资料.docx_第5页
第5页 / 共24页
通信系统课程设计资料.docx_第6页
第6页 / 共24页
通信系统课程设计资料.docx_第7页
第7页 / 共24页
通信系统课程设计资料.docx_第8页
第8页 / 共24页
通信系统课程设计资料.docx_第9页
第9页 / 共24页
通信系统课程设计资料.docx_第10页
第10页 / 共24页
通信系统课程设计资料.docx_第11页
第11页 / 共24页
通信系统课程设计资料.docx_第12页
第12页 / 共24页
通信系统课程设计资料.docx_第13页
第13页 / 共24页
通信系统课程设计资料.docx_第14页
第14页 / 共24页
通信系统课程设计资料.docx_第15页
第15页 / 共24页
通信系统课程设计资料.docx_第16页
第16页 / 共24页
通信系统课程设计资料.docx_第17页
第17页 / 共24页
通信系统课程设计资料.docx_第18页
第18页 / 共24页
通信系统课程设计资料.docx_第19页
第19页 / 共24页
通信系统课程设计资料.docx_第20页
第20页 / 共24页
亲,该文档总共24页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

通信系统课程设计资料.docx

《通信系统课程设计资料.docx》由会员分享,可在线阅读,更多相关《通信系统课程设计资料.docx(24页珍藏版)》请在冰点文库上搜索。

通信系统课程设计资料.docx

武汉理工大学《通信原理》课程设计说明书

课程设计任务书

学生姓名:

程家丰 专业班级:

电信1306

指导教师:

王虹 工作单位:

信息工程学院

题 目:

数字基带通信系统的设计与建模

初始条件:

(1)MAX+plus、QuartusII、ISE等软件;

(2)课程设计辅导书:

《通信原理课程设计指导》

(3)先修课程:

数字电子技术、模拟电子技术、电子设计EDA、通信原理

要求完成的主要任务:

(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

(1)课程设计时间:

(2)课程设计题目:

数字基带通信系统的设计与建模;

(3)本课程设计统一技术要求:

按照要求对题目进行逻辑分析,了解数字基带通信系统,画出绝相变换器与相绝变换器的仿真模型,并记录实验结果波形,对实验结果进行分析;

(4)课程设计说明书按学校“课程设计工作规范”中的“统一书写格式”撰写,并标明参考文献至少5篇;

(5)写出本次课程设计的心得体会(至少500字)。

时间安排:

第19周参考文献:

段吉海.数字通信系统建模与设计.北京:

电子工业出版社,2004江国强.EDA技术与应用.北京:

电子工业出版社,2010

JohnG.Proakis.DigitalCommunications.北京:

电子工业出版社,2011

指导教师签名:

系主任(或责任教师)签名:

目录

第一章QuartusII软件概述 1

1.1QuartusII简介 1

1.2quartusII功能简介 2

第二章数字基带通信系统概述 2

2.1数字基带通信简介 2

2.2数字基带信号 3

2.3数字基带传输 3

2.4数字基带传输系统 4

2.5数字基带传输的要求及常用码型 5

第三章数字基带通信系统的设计与建模 5

3.1原理介绍 5

3.2含绝相变换器和相绝变换器的基带系统的设计与建模 6

3.2.1系统模型 6

3.3绝相变换器 6

3.3.1绝相变换器的设计原理 7

3.3.2绝相变换器的具体设计 8

3.3.3绝相变换器的仿真波形 10

3.4含相绝变换器的基带系统的设计与建模 12

3.4.1相绝变换器的电计路设计 12

3.4.2相绝变换器的具体设计 13

3.4.3相绝变换器的仿真波形 14

3.5绝相/相绝变换的基带系统建模与仿真 14

3.5.1绝相/相绝的基带系统的建模 14

3.5.2绝相/相绝变换的具体设计:

15

3.5.3绝相/相绝变换的仿真波形 16

第四章心得体会 17

第五章参考文献 19

第一章QuartusII软件概述

1.1QuartusII简介

QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、

VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。

具有运行速度快,界面统一,功能集中,易学易用等特点。

QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。

对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。

此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。

目前Altera已经停止了对MaxplusII的更新支持,QuartusII与之相比不仅仅是支持器件类型的丰富和图形界面的改变。

Altera在QuartusII中包含了许多诸如SignalTapII、ChipEditor和RTLViewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界到数字系统设计者的欢迎。

0

1.2quartusII功能简介

QuartusII提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:

面及简便的使用方法。

AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受

可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;

芯片(电路)平面布局连线编辑;

LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;

功能强大的逻辑综合工具;

完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;

可使用SignalTapII逻辑分析工具进行嵌入式的逻辑分析;

支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;

自动定位编译错误;

高效的期间编程与验证工具;

可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

第二章数字基带通信系统概述

2.1数字基带通信简介

20世纪60年代出现了数字传输技术,它采用了数字信号来传递信息,从此通信进入了数字化时代。

目前,通信网已基本实现数字化,在我国公众通信网中传输的信号主要是数字信号。

数字通信技术的应用越来越广泛,例如数字移动通信、数字卫星通信、数字电视广播、数字光纤通信、数字微波通信、

19

数字视频通信、多媒体通信等等。

数字通信系统主要的两种通信模式:

数字频带传输通信系统,数字基带传输通信系统。

数字基带信号—指未经调制的数字信号,它所占据的频谱是从零频或很低频率开始的。

数字基带传输系统—指不经载波调制而直接传输数字基带信号的系统,常用于传输距离不太远的情况下。

研究数字基带传输系统的原因:

实际中,基带传输不如频带传输应用广泛,但对基带传输的研究仍有非常重要的意义。

这是因为:

第一,数字基带系统在近程数据通信系统中广泛采用;第二,数字基带系统的许多问题也是频带传输系统必须考虑的问题;第三,随着数字通信技术的发展,基带传输这种方式也有迅速发展的趋势,它不仅用于低速数据传输,而且还用于高速数据传输;第四,在理论上,任何一个线性调制的频带传输系统,总是可以有一个等效的基带载波调制系统所替代。

因此,很有必要对基带传输系统进行综合系统的分析。

2.2数字基带信号

通信的根本任务是远距离传输信息,准确地传输数字信息是数字通信中的一个重要环节。

在数字传输系统中,其传输对象通常是二进制数字信息。

它可能是来自计算机、网络或其他数字设备的各种数字代码,也可能来自数字电话终端的脉冲编码信号。

数字信息在一般情况下可以表示为一个数字序列:

…,a 2,a,a 1012,…,an,…简记为{an}。

an是数字序列的基本单元,称为码元。

每一个码元只能取离散的有限个值,例如在二进制中,an取0或1两个值;在M进制中,an取0,1,…,M-1等M个值,或者取二进制码的M种排列。

由于码元只有有限个可能取值,所以通常用不同幅度的脉冲表示码元的不同取值,例如用幅度为A的矩形脉冲表示1,用幅度为-A的矩形脉冲表示为

0。

这种脉冲信号被称为数字基带信号,这是因为它们所占据的频带通常从直流和低频开始。

2.3数字基带传输

在数字传输系统中所传输的通常是二元数字信号。

设计数字传输系统要

考虑的基本想法是选择一组有限个离散的波形来表示数字信息。

这些离散波形可以是载波进行调制后的波形,也可以是不经过调制的不同电平信号。

来自数据终端的原始数据信号,或者是来自模拟信号经数字化处理后的PCM码组,

ΔM序列等等都是基带数字信号。

这些信号往往包含丰富的低频分量。

有些场合可以不经过载波调制和解调过程而直接传输,称为基带传输。

系统基带波形被脉冲变换器变换成适应信道传输的码型后,就送入信道,一方面受到信道特性的影响,使信号产生畸变;另一方面信号被信道中的加性噪声所叠加,造成信号的随即畸变。

因此,在接收端必须有一个接收滤波器,使噪声尽可能受到抑制,为了提高系统的可靠性,在安排一个有限整形器和抽样判决器组成的识别电路,进一步排除噪声干扰和提取有用信号。

对于抽样判决,必须有同步信号提取电路。

在基带传输中,主要采用位同步。

同步信号的提取方式采用自同步方式(直接法)。

同步系统性能的好坏将直接影响通信质量的好坏,甚至会影响通信能否正常进行。

2.4数字基带传输系统

基带传输包含着数字通信技术的许多问题,频带传输是基带信号调制后再传输的,因此频带传输也存在基带问题。

基带传输的许多问题,频带传输同样须考虑。

理论上还可证明,任何一个采用线性调制的频带传输系统,总是可以由一个等效的基带传输系统来代替。

数字基带系统的基本结构如图1.1所示。

图2.1数字基带传输系统

信道:

允许基带信号通过的媒质。

信道的传输特性通常不满足无失真传输条件,恒参信道对信号传输的影响主要是线形畸变;随参信道对信号传输的影

响主要有频率弥散现象(多径传播)、频率的选择性衰落。

信道的线性噪声和加性噪声的影响。

在通信系统的分析中,常常把噪声n(t)等效,集中在信道中引入。

接收滤波器:

主要作用是滤除带外噪声,对信道特性均衡,使输出的基带波形有利于抽样判决。

抽样判决器:

它是在传输特性不理想及噪声背景下,在由位定时脉冲控制的特殊点对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。

自同步法的同步提取电路:

有两部分组成,包括非线型变换处理电路和窄带滤波器或锁相环。

非线型变换处理电路的作用是使接收信号或解调后的数字基带信号经过非线型变换处理电路后含有位同步分量或位同步信息。

窄带滤波器或锁相环的作用是滤除噪声和其他频谱分量,提取纯净的位同步信号。

2.5数字基带传输的要求及常用码型

在实际基带传输系统中,并非所有的原始基带数字信号都能在信道中传输。

例如,有的信号含有丰富的直流和低频成分,不便提取同步信号;有的信号易于形成码间串扰等。

因此,基带传输系统首先面临的问题是选择什么样的信号形式,即传输码型的选择和基带脉冲波形的选择。

为了在传输信道中获得优良的传输特性,一般要将信码信号变化为适合于信道传输特性的传输码,即进行适当的码型变换。

对传输码型的要求如下:

(1)传输信号的频谱中不应有直流分量,低频分量和高频分量也要小。

(2)码型中应包含定时信息,有利于定时信息的提取,尽量减小定时抖动。

(3)码型变换设备要简单可靠。

(4)码型具有一定检错能力,若传输码型有一定的规律性,则可根据这一规律性检测传输质量,以便做到自动检测。

(5)编码对信息类型不应有任何限制,即对信源具有透明性。

常用的码型有AMI码、HDB3码、分相码、反转码AMI等。

第三章数字基带通信系统的设计与建模

3.1原理介绍

数字通信的基带传输方式是数字通信的最基本的传输方式,如利用中继方式在长距离上直接传输PCM信号、用双绞线进行局域网内的计算机数据传输等。

本课题讨论的主要对象是数字基带传输系统中的收发系统,具体是对包含绝

相变换器的基带系统进行设计与建模。

对如何在信道中实现可靠传输不做探讨。

这主要是因为以FPGA/CPLD为目标器件,很难实现对基带传输系统中发送滤波器和接收滤波器的设计。

3.2含绝相变换器和相绝变换器的基带系统的设计与建模

绝相变换和相绝变换电路普遍应用于基带系统的部分响应系统及差分移相键控(DPSK)中,对绝相变换电路模块的建模与设计是具有实际意义的。

3.2.1系统模型

绝相变换是指将二进制非归零的数据码元变换成反映其相邻码元的电位变化的一种新的码元 。

其变换规则如式(3-1)所示。

bk=akÅbk-1

(3-1)

而相绝变换是绝相变换的反变换,其变换规则如式(3-2)所示。

ak=bkÅbk-1



(3-2)

绝相变换和相绝变换的原理图如下(其中Tb为一个码元宽度):

图3.1绝相变换和相绝变换的原理框图

3.3绝相变换器

3.3.1绝相变换器的设计原理

绝相变换器的电路如图3-2所示,用D触发器作为码元延迟器。

若按3-1所示的绝相变换输出,由于异或门为组合逻辑器件,因此其输出信号可能出现冒险现象,为了克服冒险现象,在后面增加一个D触发器则可保证正确的绝相变换信号输出。

其VHDL建模符号如图3-3所示。

图中:

DATAIN——码元输入;

CLK——时钟输入;DATAOUT——绝相变换输出。

图3.2绝相变换器的电路图

图 3.3绝相变换器的VHDL建模符号

3.3.2绝相变换器的具体设计

3.3.2.1D触发器

D触发器电路程序:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityD_FFisport(D,CLK:

instd_logic;

Q:

outstd_logic);endD_FF;

architectureaofD_FFisbegin

process(CLK)isbegin

if(CLK'eventandCLK='1')then

Q<=D;

endif;endprocess;enda;

D触发器程序运行仿真电路:

图3.4D触发器电路

3.3.2.2异或门

异或门电路程序:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityxor1isport(DATAIN,Q:

instd_logic;

D:

outstd_logic);endxor1;

architectureex1ofxor1is

begin

D<=DATAINxorQ;

endex1;

异或门程序运行仿真结果:

图3.5异或门仿真电路

3、绝相变换器的设计

绝相变换器电路设计代码:

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityjuexiangisport(DATAIN,CLK:

instd_logic;

DATAOUT:

outstd_logic);endjuexiang;

architectureaeofjuexiangiscomponentxor1is

port(DATAIN,Q:

instd_logic;D:

outstd_logic);endcomponentxor1;

componentD_FFis

port(D,CLK:

instd_logic;Q:

outstd_logic);endcomponentD_FF;

signalQ,D:

std_logic;begin

g1:

xor1portmap(DATAIN,Q,D);g2:

D_FFportmap(D,CLK,Q);

g3:

D_FFportmap(D,CLK,DATAOUT);endae;

绝相变换器电路设计代码运行仿真结果

3.3.3绝相变换器的仿真波形

图3.6绝相变换器的仿真电路

图3.7绝相变换器的仿真波形

运行后,由于D触发器为上升沿触发的边沿触发器,故在时钟信号上升时触发,每次触发后,判断ak(即data-in)与bk-1(即前一时刻data-out),若相同即此时刻data-out为0,反之为一。

如图3.3中75ns时刻时钟处于上升沿,判断此时data-in为1且前一时刻data-out为0,两者不同,故此时刻data-out输出1。

由仿真波形图可以看出,设计的绝相变换器有一定的延时,其他基本正确,忽略延时的影响,可以得出真值表如下。

Data-in

0

1

0

1

Data-out

0

1

1

0

表3.1由仿真波形得出真值表

由上表可知所得仿真波形符合bk=akÅbk-1,且以此类推,所有时刻均符合,设计成功。

3.3.4绝相变换器的VHDL建模

将此电路元件包装入库即可得绝相变换器的VHDL建模符号如下图:

图3.8绝相变换器的VHDL建模

3.4含相绝变换器的基带系统的设计与建模

3.4.1相绝变换器的电计路设计

相绝变换是绝相变换的反变换,其变换规则为ak=bkÅbk-1。

根据表达式画出相绝变换器电路图如图3.5,图中用D触发器作为码元延迟器。

另外,为了克服组合逻辑电路带来的冒险现象,在输出端增加了一个D触发器。

图3.9

的相绝变换器的VHDL建模符号如图3.10所示。

图中:

XDATAIN——相对码输入;

XCLKIN——时钟输入;JDATAOUT——绝对码输出。

图3.9相绝变换器的电路图

图3.10相绝变换器的VHDL建模符号

3.4.2相绝变换器的具体设计

设计中要用到的D触发器以及异或门等器件在绝相变换器的设计中已经设计好了。

此处只需设计相绝变换器。

相绝变换器的程序代码

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityxiangjueisport(XDATAIN,XCLK:

instd_logic;

XDATAOUT:

outstd_logic);

endxiangjue;

architecturebeofxiangjueiscomponentxor1is

port(DATAIN,Q:

instd_logic;D:

outstd_logic);endcomponentxor1;

componentD_FF is

port(D,CLK:

instd_logic;Q:

outstd_logic);endcomponentD_FF;

signalXD,XQ:

std_logic;begin

g1:

xor1portmap(XDATAIN,XQ,XD);g2:

D_FFportmap(XDATAIN,XCLK,XQ);g3:

D_FFportmap(XD,XCLK,XDATAOUT);

endbe;

相绝变换器的程序电路仿真结果

图3.11相绝变换器的仿真电路图

3.4.3相绝变换器的仿真波形

图3.12相绝变换器的仿真波形

仿真运行后,由于D触发器为上升沿触发的边沿触发器,故在时钟信号上升时触发,每次触发后,判断bk(即data-in)与bk-1(即前一触发时刻data-in),若相同即此时刻data-out为0,反之为一。

如图3.6中75ns时刻时钟处于上升沿,判断此时data-in为1且前一时刻data-in为0,两者不同,故此时刻data-out输出1。

由以上波形图可以得到相绝变换器的真值表如下

Data-in

0

1

0

1

0

0

1

1

0

0

0

1

1

Data-out

0

1

1

1

1

0

1

0

1

0

0

1

0

表3.2相绝变换器的真值表

根据真值表和仿真波形可知所得仿真波形符合ak=bkÅbk-1,且以此类推,所有时刻均符合,设计成功。

3.5绝相/相绝变换的基带系统建模与仿真

3.5.1绝相/相绝的基带系统的建模

绝相/相绝变换的基带系统的VHDL设计模型如图3-8所示。

图中将以上设计的绝相变换器和相绝变换器连接起来,构成了一个基带系统。

为了仿真方便,图中采用了同一时钟,而在实际的系统中,通常需要在接收端进行同步时钟信号提取。

图中:

JUE_X_OUT——绝相变换输出(相对码);DATA_IN——绝对码输入;CLK_IN——时钟输入;DATA_OUT——绝对码输出。

图3.13 绝相/相绝变换的基带系统的VHDL设计模型

3.5.2绝相/相绝变换的具体设计:

绝相/相绝程序代码

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entitybianhuanisport(DATA_IN,CLK_IN:

instd_logic;

DATA_OUT:

outstd_logicJUE_X_OUT:

std_logic);

endbianhuan;

architectureceofbianhuaniscomponentjuexiangis

port(DATAIN,CLK:

instd_logic;DATAOUT:

outstd_logic);endcomponentjuexiang;

componentxiangjueis

port(XDATAIN,XCLK:

instd_logic;XDATAOUT:

outstd_logic);endcomponentxiangjue;

begin

g1:

juexiangportmap(DATA_IN,CLK

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > IT计算机 > 电脑基础知识

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2